OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_1/] - Rev 876

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
876 Beta release of ATA simulation rherveille 8009d 18h /or1k/tags/rel_1/
875 libgcc is moved here to avoid the mess with the folders. simons 8010d 07h /or1k/tags/rel_1/
874 Command for displaying trace buffer added. simons 8018d 01h /or1k/tags/rel_1/
873 There is a problem with CRC generation, it has to be fixed in the future. simons 8029d 07h /or1k/tags/rel_1/
872 Touch screen test added. simons 8033d 06h /or1k/tags/rel_1/
871 Generic flip-flop based memory macro for register file. lampret 8034d 01h /or1k/tags/rel_1/
870 Added defines for enabling generic FF based memory macro for register file. lampret 8034d 01h /or1k/tags/rel_1/
869 Added generic flip-flop based memory macro instantiation. lampret 8034d 01h /or1k/tags/rel_1/
868 help added for mprofiler and profiler commands markom 8037d 11h /or1k/tags/rel_1/
867 ifdefs changed to ifs, to exclude ethernet_i header file markom 8039d 11h /or1k/tags/rel_1/
866 after make headers markom 8043d 06h /or1k/tags/rel_1/
865 true flase bug fixed markom 8045d 01h /or1k/tags/rel_1/
864 Change the order of biulding tools. simons 8046d 00h /or1k/tags/rel_1/
863 Some additional changes for RAM version. simons 8046d 23h /or1k/tags/rel_1/
862 Support for compressed kernel image added. simons 8046d 23h /or1k/tags/rel_1/
860 Added delayr and delayw variable initialization (default value 1) ivang 8049d 19h /or1k/tags/rel_1/
859 camera support added to fb markom 8051d 10h /or1k/tags/rel_1/
858 CRT/keyboard support works markom 8058d 09h /or1k/tags/rel_1/
857 README added markom 8058d 10h /or1k/tags/rel_1/
856 I/D MMU and I/D caches takes some cycles by default markom 8059d 07h /or1k/tags/rel_1/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.