OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_25/] - Rev 350

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
350 For GDB changed single stepping and disabled trap exception. lampret 8301d 05h /or1k/tags/rel_25/
349 Some bugs regarding cache simulation fixed. simons 8302d 18h /or1k/tags/rel_25/
348 Added instructions on how to build configure. ivang 8304d 02h /or1k/tags/rel_25/
347 Added CRC32 calculation to Ethernet erez 8304d 23h /or1k/tags/rel_25/
346 Improved Ethernet simulation erez 8305d 00h /or1k/tags/rel_25/
345 Added check for net/ethernet.h (needed by ethernet simulator) erez 8305d 00h /or1k/tags/rel_25/
344 added acv test for uart; sim debug now has verbose levels; lot of bugs fixed in uart model markom 8305d 02h /or1k/tags/rel_25/
343 Small touches to test programs erez 8305d 05h /or1k/tags/rel_25/
342 added exception vectors to support and modified section names markom 8306d 01h /or1k/tags/rel_25/
341 added VAPI for uart; uart 16550 support, some bugs fixed markom 8306d 03h /or1k/tags/rel_25/
340 Added hpint vector lampret 8306d 04h /or1k/tags/rel_25/
339 Added setpc test lampret 8306d 04h /or1k/tags/rel_25/
338 Added 'setpc'. Renamed some signals (except_flushpipe into flushpipe etc) lampret 8306d 04h /or1k/tags/rel_25/
337 Fixed tick timer interrupt reporting by using TTCR[IP] bit. lampret 8306d 04h /or1k/tags/rel_25/
336 VAPI works markom 8306d 23h /or1k/tags/rel_25/
335 some small bugs fixed markom 8307d 00h /or1k/tags/rel_25/
334 removed vapi client file markom 8307d 03h /or1k/tags/rel_25/
333 small bug fixed markom 8307d 06h /or1k/tags/rel_25/
332 removed fixed irq numbering from pic.h; tick timer section added markom 8307d 06h /or1k/tags/rel_25/
331 dependecy is required by history analisis markom 8307d 07h /or1k/tags/rel_25/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.