OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_5/] - Rev 721

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
721 configure files updated markom 8173d 08h /or1k/tags/rel_5/
720 single floating point support added markom 8173d 09h /or1k/tags/rel_5/
719 config.h.in updated markom 8173d 09h /or1k/tags/rel_5/
718 Memory size extended. Tested with nano-X. simons 8173d 10h /or1k/tags/rel_5/
717 some minor improvements markom 8173d 10h /or1k/tags/rel_5/
716 Tested on uClinux. simons 8173d 23h /or1k/tags/rel_5/
715 dhrystones strcmp repaired markom 8174d 09h /or1k/tags/rel_5/
714 do_stats introduced for faster no-stats execution markom 8175d 06h /or1k/tags/rel_5/
713 lot of small minor improvements: code documented, cleaned; runs at about same speed when not actually logging, but exe_log is enabled; raw_stats now run only with simple execution - enable RAW_USAGE_STATS macro markom 8175d 09h /or1k/tags/rel_5/
712 eval_operand and set_operand functions are being generated markom 8178d 06h /or1k/tags/rel_5/
711 Ram size exteneded to 4Mb. simons 8178d 09h /or1k/tags/rel_5/
710 _print removed. simons 8178d 09h /or1k/tags/rel_5/
709 eval_operands is now being generated markom 8178d 11h /or1k/tags/rel_5/
708 Sash can be now built with or32-uclibc tool chain. simons 8178d 22h /or1k/tags/rel_5/
707 _start label is now the entry point. simons 8178d 22h /or1k/tags/rel_5/
706 insn_decode execution part replaced by generated function decode_execute; use --enable-simple to use runtime decoding markom 8179d 04h /or1k/tags/rel_5/
705 Updated changed registers. ivang 8180d 05h /or1k/tags/rel_5/
704 exe_logs now print also l.nop 3 printfs markom 8180d 06h /or1k/tags/rel_5/
703 small optimizations to dissasemble markom 8180d 09h /or1k/tags/rel_5/
702 Initial coding of ethernet simulator model finished. ivang 8180d 09h /or1k/tags/rel_5/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.