OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_9/] - Rev 411

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
411 acv uart testsuite now works (without modem test) markom 8256d 08h /or1k/tags/rel_9/
410 MMU test added. simons 8257d 01h /or1k/tags/rel_9/
409 some minor changes to or1ksim; Testbench except.s modified. Interrupt test almost finished for uart ACV. markom 8257d 08h /or1k/tags/rel_9/
408 Fixed errant rx_bd_num erez 8258d 04h /or1k/tags/rel_9/
406 Renamed ethernet's RX_BD_ADR to RX_BD_NUM erez 8258d 07h /or1k/tags/rel_9/
405 Stepping trough l.jal and l.jalr fixed. simons 8259d 08h /or1k/tags/rel_9/
404 is_delayed() is used outside this file. simons 8259d 08h /or1k/tags/rel_9/
403 Prompt changed because ddd requires (gdb). simons 8259d 08h /or1k/tags/rel_9/
402 Added OR1200_GENERIC_MULTP2_32X32 and OR1200_ASIC_MULTP2_32X32 lampret 8259d 13h /or1k/tags/rel_9/
401 *** empty log message *** simons 8262d 23h /or1k/tags/rel_9/
400 force_dslot_fetch does not work - allways zero. simons 8262d 23h /or1k/tags/rel_9/
399 Trap insn couses break after exits ex_insn. simons 8262d 23h /or1k/tags/rel_9/
398 added register field defines ivang 8265d 04h /or1k/tags/rel_9/
397 removed or16 architecture markom 8265d 05h /or1k/tags/rel_9/
396 added missing file markom 8265d 07h /or1k/tags/rel_9/
395 removed obsolete dependency and history from cpu section markom 8265d 09h /or1k/tags/rel_9/
394 dependency joined with dependstats; history moved to sim section markom 8265d 10h /or1k/tags/rel_9/
393 messages: exception on many places changed to abort markom 8265d 11h /or1k/tags/rel_9/
391 Fixed except_stop width and fixed EX PC for 1400444f no-ops. lampret 8265d 18h /or1k/tags/rel_9/
390 Changed instantiation name of VS RAMs. lampret 8265d 20h /or1k/tags/rel_9/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.