OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [stable_0_1_0/] - Rev 1067

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
1067 Changed main structure. rherveille 7962d 16h /or1k/tags/stable_0_1_0/
1066 readme updated markom 7962d 16h /or1k/tags/stable_0_1_0/
1065 Removed trailing ' \' used to continue code on the next line.
This caused problems with some compilers.
rherveille 7965d 13h /or1k/tags/stable_0_1_0/
1063 Added BIST scan. Special VS RAMs need to be used to implement BIST. lampret 7966d 06h /or1k/tags/stable_0_1_0/
1062 few cuc bugs fixed markom 7972d 15h /or1k/tags/stable_0_1_0/
1061 ELF sym loading improved markom 7973d 12h /or1k/tags/stable_0_1_0/
1060 cuc sw loading bug fixed markom 7986d 11h /or1k/tags/stable_0_1_0/
1059 several cuc bugs fixed; different verilog cuc file naming markom 7986d 11h /or1k/tags/stable_0_1_0/
1058 Different memory controller. simons 7997d 05h /or1k/tags/stable_0_1_0/
1057 Different memory controller. simons 7997d 05h /or1k/tags/stable_0_1_0/
1055 Removed obsolete comment. lampret 7997d 23h /or1k/tags/stable_0_1_0/
1054 Fixed a combinational loop. lampret 7997d 23h /or1k/tags/stable_0_1_0/
1053 Disabled cache inhibit atttribute. lampret 7997d 23h /or1k/tags/stable_0_1_0/
1052 Delayed wb_err_o. Disabled wb_ack_o when wb_err_o is asserted. lampret 7997d 23h /or1k/tags/stable_0_1_0/
1051 HUGE VOODOO BUG FIXED ivang 8000d 07h /or1k/tags/stable_0_1_0/
1050 Added help for "breaks" command. ivang 8000d 09h /or1k/tags/stable_0_1_0/
1049 Added "breaks" command that prints all set breakpoints. ivang 8000d 09h /or1k/tags/stable_0_1_0/
1048 breakpoint can be set on labels markom 8001d 11h /or1k/tags/stable_0_1_0/
1047 options missing bug fixed markom 8003d 09h /or1k/tags/stable_0_1_0/
1046 cmov pushing through markom 8003d 10h /or1k/tags/stable_0_1_0/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.