OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [stable_0_2_0_rc3/] [or1ksim/] - Rev 97

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
97 Description of all test cases (at least working one). lampret 8468d 09h /or1k/tags/stable_0_2_0_rc3/or1ksim/
94 Update. lampret 8498d 12h /or1k/tags/stable_0_2_0_rc3/or1ksim/
93 Adding uos. lampret 8498d 12h /or1k/tags/stable_0_2_0_rc3/or1ksim/
92 Tick timer. lampret 8498d 15h /or1k/tags/stable_0_2_0_rc3/or1ksim/
91 Tick timer facility. lampret 8498d 15h /or1k/tags/stable_0_2_0_rc3/or1ksim/
90 Added tick timer. lampret 8498d 16h /or1k/tags/stable_0_2_0_rc3/or1ksim/
86 Added dh command. lampret 8500d 00h /or1k/tags/stable_0_2_0_rc3/or1ksim/
85 Added dumphex. lampret 8500d 00h /or1k/tags/stable_0_2_0_rc3/or1ksim/
84 Update. lampret 8500d 00h /or1k/tags/stable_0_2_0_rc3/or1ksim/
83 Updates. lampret 8500d 00h /or1k/tags/stable_0_2_0_rc3/or1ksim/
82 Changed pctemp to pcnext. lampret 8500d 00h /or1k/tags/stable_0_2_0_rc3/or1ksim/
79 Data and instruction cache simulation added. lampret 8529d 16h /or1k/tags/stable_0_2_0_rc3/or1ksim/
78 (i/d)tlb_status lampret 8653d 05h /or1k/tags/stable_0_2_0_rc3/or1ksim/
77 Regular update. lampret 8653d 05h /or1k/tags/stable_0_2_0_rc3/or1ksim/
76 regular update lampret 8653d 06h /or1k/tags/stable_0_2_0_rc3/or1ksim/
75 simgetstr added. eval_mem32 replaced with evalsim_mem32. lampret 8653d 06h /or1k/tags/stable_0_2_0_rc3/or1ksim/
74 Same as DMMU. lampret 8660d 05h /or1k/tags/stable_0_2_0_rc3/or1ksim/
73 Fixed all bugs. Now more or less works. IMMU still has some problems (exception start). lampret 8660d 05h /or1k/tags/stable_0_2_0_rc3/or1ksim/
72 Added 'how to build GNU tools' lampret 8665d 06h /or1k/tags/stable_0_2_0_rc3/or1ksim/
69 Sim debug. lampret 8672d 05h /or1k/tags/stable_0_2_0_rc3/or1ksim/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.