OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [tn_m001/] [or1ksim/] - Rev 799

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
799 Wrapping around 512k boundary to simulate real hw. simons 8163d 05h /or1k/tags/tn_m001/or1ksim/
766 Color bits position changed. simons 8166d 12h /or1k/tags/tn_m001/or1ksim/
744 Some changes and fixes. simons 8175d 08h /or1k/tags/tn_m001/or1ksim/
742 Added status info dump. ivang 8177d 16h /or1k/tags/tn_m001/or1ksim/
741 Added dump of MC status. ivang 8177d 17h /or1k/tags/tn_m001/or1ksim/
738 *** empty log message *** ivang 8178d 17h /or1k/tags/tn_m001/or1ksim/
734 Fixed eth configuration. ivang 8184d 12h /or1k/tags/tn_m001/or1ksim/
733 Fixed configuration. ivang 8184d 12h /or1k/tags/tn_m001/or1ksim/
732 Fixed error during merge. ivang 8184d 12h /or1k/tags/tn_m001/or1ksim/
731 Merge. ivang 8184d 12h /or1k/tags/tn_m001/or1ksim/
730 tick section is now obsolete; update your .cfg files! markom 8185d 17h /or1k/tags/tn_m001/or1ksim/
729 some small optimizations markom 8185d 17h /or1k/tags/tn_m001/or1ksim/
728 tick timer works with scheduler markom 8185d 17h /or1k/tags/tn_m001/or1ksim/
726 Fixed building problem. ivang 8186d 12h /or1k/tags/tn_m001/or1ksim/
725 Added some more configuration parameters. ivang 8186d 12h /or1k/tags/tn_m001/or1ksim/
724 Configuration of ethernet model socket interface and IRQ added. ivang 8186d 12h /or1k/tags/tn_m001/or1ksim/
723 Added configuration for socket interface and IRQ level. ivang 8186d 12h /or1k/tags/tn_m001/or1ksim/
722 floating point registers are obsolete; GPRs should be used instead markom 8186d 14h /or1k/tags/tn_m001/or1ksim/
721 configure files updated markom 8186d 18h /or1k/tags/tn_m001/or1ksim/
720 single floating point support added markom 8186d 18h /or1k/tags/tn_m001/or1ksim/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.