OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] - Rev 943

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
943 Added optional retry counter for wb_rty_i. Added graceful termination for aborted transfers. lampret 8092d 23h /or1k/trunk/
942 Delayed external access at page crossing. lampret 8092d 23h /or1k/trunk/
941 memory optimizations moved into main optimization loop markom 8095d 16h /or1k/trunk/
940 profiling and cuc can be made in one run markom 8096d 13h /or1k/trunk/
939 caller saved register r11 fixed markom 8096d 19h /or1k/trunk/
938 conditional facts does not work for assignments outside BB markom 8096d 19h /or1k/trunk/
937 added file; cleanup markom 8096d 20h /or1k/trunk/
936 simple conditional facts generation tested markom 8097d 15h /or1k/trunk/
935 Defined sections, fixed boot sequence. ivang 8098d 03h /or1k/trunk/
934 conditional facts generation markom 8098d 14h /or1k/trunk/
933 adding fact generation from conditionals; still under development markom 8098d 17h /or1k/trunk/
932 adv. dead code elimination; few optimizations markom 8098d 18h /or1k/trunk/
931 more CMOV optimizations; some bugs fixed; more complex optimization structure markom 8099d 12h /or1k/trunk/
930 more CMOV optimizations; cse tested markom 8099d 14h /or1k/trunk/
929 add - sfxx optimization markom 8102d 16h /or1k/trunk/
928 sfor instruction replaced by conditional cmov markom 8102d 17h /or1k/trunk/
927 problems with LRBB removal solved markom 8102d 17h /or1k/trunk/
926 regs and loads do not use rst - can yield less logic markom 8103d 11h /or1k/trunk/
925 new BB joining type; BBID_END added; virtex.tim sample cuc timings markom 8103d 11h /or1k/trunk/
924 bb joining, basic block triggers bugs fixed; more verilog generation of arbiter markom 8103d 18h /or1k/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.