OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [trunk/] - Rev 98

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
98 Fix size of GENERIC ram. rhoads 7936d 17h /plasma/trunk/
97 added documentation rhoads 8000d 22h /plasma/trunk/
96 Simplify take_branch rhoads 8035d 00h /plasma/trunk/
95 register mem_write and mem_byte_sel for speed calculations rhoads 8035d 00h /plasma/trunk/
94 Count forever rhoads 8035d 00h /plasma/trunk/
93 make run now runs for 500 us rhoads 8036d 18h /plasma/trunk/
92 Updated rhoads 8036d 18h /plasma/trunk/
91 Removed unused alu_function_type entries rhoads 8036d 18h /plasma/trunk/
90 Now multiplies two bits at a time rhoads 8036d 18h /plasma/trunk/
89 Use address_reg instead of address_data to break timing slow down rhoads 8036d 18h /plasma/trunk/
88 Cleanup spaces rhoads 8036d 18h /plasma/trunk/
87 Seperated left and right shift variables rhoads 8036d 18h /plasma/trunk/
86 Updated comment rhoads 8036d 18h /plasma/trunk/
85 Use ADDRESS_WIDTH when decoding mem_sel rhoads 8036d 18h /plasma/trunk/
84 Fixed comment rhoads 8036d 18h /plasma/trunk/
83 Updated comments, accurate_timing on by default rhoads 8036d 18h /plasma/trunk/
82 Added to process list rhoads 8036d 18h /plasma/trunk/
81 Removed unused case statements rhoads 8036d 18h /plasma/trunk/
80 Fixed bug rhoads 8036d 18h /plasma/trunk/
79 pipeline rhoads 8044d 19h /plasma/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.