OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] - Rev 21

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
21 Upgrade the example design to use a 60 MHz system clock skordal 3295d 07h /potato/trunk/
20 Fix SHA256 benchmark crash by storing all registers on exception handler entry

This problem will disappear when the processor is updated to conform to the
new supervisor specification, which will allow us to use a compiler that
conforms to the new "official" ABI.
skordal 3295d 07h /potato/trunk/
19 SHA256 benchmark: allow compiler to inline at will skordal 3295d 07h /potato/trunk/
18 instr_misalign_check: add do_jump to sensitivity list skordal 3297d 07h /potato/trunk/
17 Improve detection of unaligned instructions skordal 3301d 14h /potato/trunk/
16 Correct grammar in source code comment skordal 3301d 14h /potato/trunk/
15 SHA256 benchmark: fix Makefile syntax error skordal 3308d 06h /potato/trunk/
14 Improve detection of invalid instructions skordal 3308d 07h /potato/trunk/
13 Add SHA256 benchmark code skordal 3308d 12h /potato/trunk/
12 Update example design with correct bug-report URL and testbenches skordal 3308d 14h /potato/trunk/
11 Correct FIFO file header skordal 3308d 14h /potato/trunk/
10 Add missing FIFO module skordal 3313d 08h /potato/trunk/
9 Remove dependency on a non-existent target in the Makefile skordal 3313d 08h /potato/trunk/
8 Clarify instruction ROM naming in the example design README skordal 3320d 10h /potato/trunk/
7 Add test design for the Nexys 4 board from Digilent skordal 3320d 11h /potato/trunk/
6 Add ISA tests skordal 3320d 11h /potato/trunk/
5 Update the README, remove .md extension skordal 3322d 16h /potato/trunk/
4 Add license skordal 3322d 16h /potato/trunk/
3 Fix bug reporting URL skordal 3322d 16h /potato/trunk/
2 Initial commit skordal 3322d 19h /potato/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.