OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] - Rev 23

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
22 Fix the potato_get_badvaddr() macro skordal 3359d 01h /potato/trunk/
21 Upgrade the example design to use a 60 MHz system clock skordal 3359d 01h /potato/trunk/
20 Fix SHA256 benchmark crash by storing all registers on exception handler entry

This problem will disappear when the processor is updated to conform to the
new supervisor specification, which will allow us to use a compiler that
conforms to the new "official" ABI.
skordal 3359d 01h /potato/trunk/
19 SHA256 benchmark: allow compiler to inline at will skordal 3359d 01h /potato/trunk/
18 instr_misalign_check: add do_jump to sensitivity list skordal 3361d 01h /potato/trunk/
17 Improve detection of unaligned instructions skordal 3365d 08h /potato/trunk/
16 Correct grammar in source code comment skordal 3365d 08h /potato/trunk/
15 SHA256 benchmark: fix Makefile syntax error skordal 3372d 01h /potato/trunk/
14 Improve detection of invalid instructions skordal 3372d 02h /potato/trunk/
13 Add SHA256 benchmark code skordal 3372d 06h /potato/trunk/
12 Update example design with correct bug-report URL and testbenches skordal 3372d 08h /potato/trunk/
11 Correct FIFO file header skordal 3372d 08h /potato/trunk/
10 Add missing FIFO module skordal 3377d 02h /potato/trunk/
9 Remove dependency on a non-existent target in the Makefile skordal 3377d 02h /potato/trunk/
8 Clarify instruction ROM naming in the example design README skordal 3384d 05h /potato/trunk/
7 Add test design for the Nexys 4 board from Digilent skordal 3384d 05h /potato/trunk/
6 Add ISA tests skordal 3384d 05h /potato/trunk/
5 Update the README, remove .md extension skordal 3386d 11h /potato/trunk/
4 Add license skordal 3386d 11h /potato/trunk/
3 Fix bug reporting URL skordal 3386d 11h /potato/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.