OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] - Rev 13

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
13 syntax typo fixed... jguarin2002 5013d 12h /raytrac/
12 syntax typo fixed... jguarin2002 5013d 13h /raytrac/
11 The Raytrac file is the top hierarchy entity, below are uf and opcoder jguarin2002 5019d 04h /raytrac/
10 arithpack component declaration changed to make a more 'understandable' design, perhaps wont be that legible but at this stage, at least to me it is jguarin2002 5019d 04h /raytrac/
9 dumped fastmux, did not need it at all (by now), therefore arithpack.vhd was modified, by deleting the fastmux component declaration jguarin2002 5019d 07h /raytrac/
8 uf.vhd now is complete, got to assemble the whole uf.vhd and opcoder and the raytracing engine will be completed jguarin2002 5019d 12h /raytrac/
7 minor fix in opcoder.vhd jguarin2002 5019d 12h /raytrac/
6 Terminado 'when others'... seguro que ahora si jguarin2002 5022d 11h /raytrac/
5 Terminado 'when others' jguarin2002 5022d 11h /raytrac/
4 Error de syntaxis en opcoder.vhd corregido........ jguarin2002 5022d 11h /raytrac/
3 Cambios simples en uf.vhd. Se adherio opcoder.vhd jguarin2002 5022d 11h /raytrac/
2 In next check in i will comment in a richer and significant way, for now Im on planning Stage ..... jguarin2002 5024d 23h /raytrac/
1 The project and the structure was created root 5028d 04h /raytrac/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.