OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] - Rev 11

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
11 The Raytrac file is the top hierarchy entity, below are uf and opcoder jguarin2002 5023d 12h /raytrac/trunk/
10 arithpack component declaration changed to make a more 'understandable' design, perhaps wont be that legible but at this stage, at least to me it is jguarin2002 5023d 12h /raytrac/trunk/
9 dumped fastmux, did not need it at all (by now), therefore arithpack.vhd was modified, by deleting the fastmux component declaration jguarin2002 5023d 14h /raytrac/trunk/
8 uf.vhd now is complete, got to assemble the whole uf.vhd and opcoder and the raytracing engine will be completed jguarin2002 5023d 19h /raytrac/trunk/
7 minor fix in opcoder.vhd jguarin2002 5023d 19h /raytrac/trunk/
6 Terminado 'when others'... seguro que ahora si jguarin2002 5026d 19h /raytrac/trunk/
5 Terminado 'when others' jguarin2002 5026d 19h /raytrac/trunk/
4 Error de syntaxis en opcoder.vhd corregido........ jguarin2002 5026d 19h /raytrac/trunk/
3 Cambios simples en uf.vhd. Se adherio opcoder.vhd jguarin2002 5026d 19h /raytrac/trunk/
2 In next check in i will comment in a richer and significant way, for now Im on planning Stage ..... jguarin2002 5029d 06h /raytrac/trunk/
1 The project and the structure was created root 5032d 12h /raytrac/trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.