OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] - Rev 26

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
26 Corrections on opcoder jguarin2002 4931d 10h /raytrac/trunk/
25 Support to variable width and the possibility to choose between behavioral description and structural description jguarin2002 4931d 10h /raytrac/trunk/
24 Added a more simple mux to opcoder implementation. jguarin2002 4938d 03h /raytrac/trunk/
23 Doxygen documentation related changes..... jguarin2002 4938d 04h /raytrac/trunk/
22 Doxygen Documentation related changes. jguarin2002 4938d 19h /raytrac/trunk/
21 Doxygen documentation related changes..... jguarin2002 4939d 10h /raytrac/trunk/
20 Docyyment jguarin2002 4941d 21h /raytrac/trunk/
19 Doxygen documentation related changes..... jguarin2002 4942d 19h /raytrac/trunk/
18 Doxygen documentation related changes jguarin2002 4942d 20h /raytrac/trunk/
17 Lesser GPL license, the one suggested by opencores@ jguarin2002 4943d 08h /raytrac/trunk/
16 Commiting differences related to Doxygen documentation adding jguarin2002 4943d 08h /raytrac/trunk/
15 When selecting s0name, s1name, for a signal that belongs to a 2 stage pipe, the compiler would, based on the name, create just a single flipflop with Q feedbacking D, and that's no the case, so a lot of names has been changed, from s0signalname, s1signalname to stage0signalname, s1signalname and so on... jguarin2002 4945d 21h /raytrac/trunk/
14 Lots of typos fixed...... jguarin2002 4947d 20h /raytrac/trunk/
13 syntax typo fixed... jguarin2002 4947d 22h /raytrac/trunk/
12 syntax typo fixed... jguarin2002 4947d 22h /raytrac/trunk/
11 The Raytrac file is the top hierarchy entity, below are uf and opcoder jguarin2002 4953d 13h /raytrac/trunk/
10 arithpack component declaration changed to make a more 'understandable' design, perhaps wont be that legible but at this stage, at least to me it is jguarin2002 4953d 13h /raytrac/trunk/
9 dumped fastmux, did not need it at all (by now), therefore arithpack.vhd was modified, by deleting the fastmux component declaration jguarin2002 4953d 16h /raytrac/trunk/
8 uf.vhd now is complete, got to assemble the whole uf.vhd and opcoder and the raytracing engine will be completed jguarin2002 4953d 21h /raytrac/trunk/
7 minor fix in opcoder.vhd jguarin2002 4953d 21h /raytrac/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.