OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

[/] [s1_core/] [trunk/] - Rev 88

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
88 After one year found time to translate Giovanni Di Blasi's comments to boot code! fafa1971 5801d 10h /s1_core/trunk/
87 Corrected comment delimiter. fafa1971 5927d 19h /s1_core/trunk/
86 Added 'lain.ux'-style checks for environment vars to be set (I lost data as well!!!). fafa1971 5940d 15h /s1_core/trunk/
85 GREAT synthesis script!!! Performs all bottom-up synthesis without errors. fafa1971 5942d 16h /s1_core/trunk/
84 Again, used module names instead than instance names in bottom-up synthesis approach. fafa1971 5942d 19h /s1_core/trunk/
83 Decreased clock frequency from 250 to 200 MHz. fafa1971 5949d 15h /s1_core/trunk/
82 DC synthesis script modified according to the fabolous manual (RTFM...). fafa1971 5960d 15h /s1_core/trunk/
81 Sorry, I made a mistake in the waveform of the clock! fafa1971 5960d 18h /s1_core/trunk/
80 Hyerarchical report_area. fafa1971 5963d 14h /s1_core/trunk/
79 Relaxed timing, added flatten and hyerarchical report_area. fafa1971 5963d 14h /s1_core/trunk/
78 Relaxed timing and added flatten command. fafa1971 5963d 14h /s1_core/trunk/
77 Now includes comments (in Italian!) fafa1971 6048d 12h /s1_core/trunk/
76 Changed again from DB export to DDC export fafa1971 6063d 11h /s1_core/trunk/
75 Changed preprocessing for DC synthesis fafa1971 6063d 15h /s1_core/trunk/
74 Updated filelists. fafa1971 6063d 15h /s1_core/trunk/
73 New version of scripts for DC and to compile boot code fafa1971 6063d 16h /s1_core/trunk/
72 Modified RAM address from 0x400C0 to 0x4C000 fafa1971 6069d 23h /s1_core/trunk/
71 Added check for S1_ROOT set (suggested by lain.ux) fafa1971 6070d 22h /s1_core/trunk/
70 Again, the setup file is linked rather than copied fafa1971 6078d 08h /s1_core/trunk/
69 Now contains also the other file fafa1971 6078d 08h /s1_core/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.