OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

[/] [s1_core/] [trunk/] [tools/] - Rev 84

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
84 Again, used module names instead than instance names in bottom-up synthesis approach. fafa1971 5955d 02h /s1_core/trunk/tools/
83 Decreased clock frequency from 250 to 200 MHz. fafa1971 5961d 22h /s1_core/trunk/tools/
82 DC synthesis script modified according to the fabolous manual (RTFM...). fafa1971 5972d 22h /s1_core/trunk/tools/
81 Sorry, I made a mistake in the waveform of the clock! fafa1971 5973d 01h /s1_core/trunk/tools/
80 Hyerarchical report_area. fafa1971 5975d 21h /s1_core/trunk/tools/
78 Relaxed timing and added flatten command. fafa1971 5975d 21h /s1_core/trunk/tools/
76 Changed again from DB export to DDC export fafa1971 6075d 18h /s1_core/trunk/tools/
73 New version of scripts for DC and to compile boot code fafa1971 6075d 23h /s1_core/trunk/tools/
72 Modified RAM address from 0x400C0 to 0x4C000 fafa1971 6082d 06h /s1_core/trunk/tools/
71 Added check for S1_ROOT set (suggested by lain.ux) fafa1971 6083d 05h /s1_core/trunk/tools/
70 Again, the setup file is linked rather than copied fafa1971 6090d 15h /s1_core/trunk/tools/
69 Now contains also the other file fafa1971 6090d 15h /s1_core/trunk/tools/
68 Merged with the DC setup file fafa1971 6090d 15h /s1_core/trunk/tools/
67 Now uses XG/Tcl syntax fafa1971 6092d 15h /s1_core/trunk/tools/
66 Modified to use XG syntax fafa1971 6092d 15h /s1_core/trunk/tools/
65 Version with undisclosed library names fafa1971 6092d 15h /s1_core/trunk/tools/
64 Initial version
B
C
C
Initial versioNCVS: ----------------------------------------------------------------------
fafa1971 6092d 15h /s1_core/trunk/tools/
63 *** empty log message *** fafa1971 6092d 15h /s1_core/trunk/tools/
61 Updated to latest version fafa1971 6138d 13h /s1_core/trunk/tools/
60 Now supports also Virtex5 devices fafa1971 6138d 13h /s1_core/trunk/tools/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.