OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [src/] [grpComponents/] [unitIcs307Configurator/] - Rev 170

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
170 License rewritten to BSD rkastl 4921d 13h /sdhc-sc-core/trunk/src/grpComponents/unitIcs307Configurator/
80 Renamed *.vhd to *.vhdl in last commit. rkastl 4921d 17h /sdhc-sc-core/trunk/src/grpComponents/unitIcs307Configurator/
79 Rs232Tx: added to TbdSd
TimeoutGenerator: written
rkastl 4921d 17h /sdhc-sc-core/trunk/src/grpComponents/unitIcs307Configurator/
67 IP added rkastl 4921d 18h /sdhc-sc-core/trunk/src/grpComponents/unitIcs307Configurator/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.