OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [src/] [grpCrc/] [unitCrc/] [src/] - Rev 185

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
185 Restructuring as source repository: Moved sources out of src subdirectory. rkastl 4931d 14h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/
178 Fixed regression:
Testbenches did not support synchronous reset.
rkastl 4934d 07h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/
170 License rewritten to BSD rkastl 4934d 07h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/
165 Only use synchronous high active reset in SDHC-SC-Core. rkastl 4934d 07h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/
164 Headers updated (LGPL, consistent format) rkastl 4934d 07h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/
105 Changing speed works! refs #33 rkastl 4934d 11h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/
61 Crc: Additional test case rkastl 4934d 12h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/
55 Crc: Additional testcase rkastl 4934d 12h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/
34 Sd: SdCmd: Sending commands implemented, but not tested. refs #16. rkastl 4934d 12h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/
17 Fixed error introduced in last commit. rkastl 4934d 12h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/
16 Indenting changed (vim)
added an error to test the ci-system
rkastl 4934d 12h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/
11 Removed the formerly added error. rkastl 4934d 12h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/
9 Error added on purpose to test the build files. rkastl 4934d 12h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/
8 Build files for continuous integration (hudson). rkastl 4934d 12h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/
6 Moved source to fhlow-style directories. But: FHLOW is not used, because
it does not work.
rkastl 4934d 12h /sdhc-sc-core/trunk/src/grpCrc/unitCrc/src/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.