OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [src/] [grpGlobal/] - Rev 179

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
170 License rewritten to BSD rkastl 4911d 19h /sdhc-sc-core/trunk/src/grpGlobal/
164 Headers updated (LGPL, consistent format) rkastl 4911d 19h /sdhc-sc-core/trunk/src/grpGlobal/
118 EdgeDetector added. rkastl 4911d 23h /sdhc-sc-core/trunk/src/grpGlobal/
106 Fixes #29: All cards respond, but they do not all work. rkastl 4911d 23h /sdhc-sc-core/trunk/src/grpGlobal/
79 Rs232Tx: added to TbdSd
TimeoutGenerator: written
rkastl 4911d 23h /sdhc-sc-core/trunk/src/grpGlobal/
78 Rs232Tx: implemented, but not tested rkastl 4911d 23h /sdhc-sc-core/trunk/src/grpGlobal/
75 Transfer to SbX, ref #17 rkastl 4911d 23h /sdhc-sc-core/trunk/src/grpGlobal/
35 SdCmd: Sending CMD0 is correct, refs #19 rkastl 4911d 23h /sdhc-sc-core/trunk/src/grpGlobal/
24 Wishbone: Build fixed for splitted packages. rkastl 4911d 23h /sdhc-sc-core/trunk/src/grpGlobal/
23 Wishbone: Package split into a global and a specific one. rkastl 4911d 23h /sdhc-sc-core/trunk/src/grpGlobal/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.