OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [src/] [grpGlobal/] [pkgGlobal/] - Rev 53

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
35 SdCmd: Sending CMD0 is correct, refs #19 rkastl 4925d 23h /sdhc-sc-core/trunk/src/grpGlobal/pkgGlobal/
24 Wishbone: Build fixed for splitted packages. rkastl 4925d 23h /sdhc-sc-core/trunk/src/grpGlobal/pkgGlobal/
23 Wishbone: Package split into a global and a specific one. rkastl 4925d 23h /sdhc-sc-core/trunk/src/grpGlobal/pkgGlobal/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.