OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [src/] [grpSd/] [pkgSd/] - Rev 93

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
92 SdData: Sending in standard and wide mode (incl. simple not automated
testbench and synthesis), refs #31.
rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/
89 Fixes #27, R3 uses '1111111' as CRC. rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/
88 Timeouts inserted, Sending Card status via Rs232 if changed rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/
83 SdCmd: Refactored rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/
75 Transfer to SbX, ref #17 rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/
63 SdController: basic init complete rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/
62 R2 implemented in complete stack, refs #15. rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/
60 Receiving a response to ACMD41 works (including busy, but voltage is not
checked), refs #15.
rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/
57 SdController: Sending ACMD41, refs #15 rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/
56 SdCmd: Receiving generic response works rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/
54 SDController: Sending CMD0, and CMD8 after reset works. refs #15. rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/
49 SdCmd: Send renamed to Valid rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/
37 SdCmd: using records, added a bug somewhere. refs #16 rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/
34 Sd: SdCmd: Sending commands implemented, but not tested. refs #16. rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/
29 Sd: package started rkastl 4942d 02h /sdhc-sc-core/trunk/src/grpSd/pkgSd/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.