OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [src/] [grpSd/] [unitSdWbSlave/] - Rev 134

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
126 Read and Write works in simulation, needs verification.
Synthesis works the same like before.
rkastl 4934d 09h /sdhc-sc-core/trunk/src/grpSd/unitSdWbSlave/
123 Write: Must be able to halt SdClk, rest is done. rkastl 4934d 09h /sdhc-sc-core/trunk/src/grpSd/unitSdWbSlave/
122 SdController: Initial read support rkastl 4934d 13h /sdhc-sc-core/trunk/src/grpSd/unitSdWbSlave/
120 SdWbSlave: ClassicRead and ClassicWrite work rkastl 4934d 13h /sdhc-sc-core/trunk/src/grpSd/unitSdWbSlave/
119 SdWb: Synchronization of operation to SdController done, but needs
testing.
rkastl 4934d 13h /sdhc-sc-core/trunk/src/grpSd/unitSdWbSlave/
116 Wishbone interface for sd core started rkastl 4934d 13h /sdhc-sc-core/trunk/src/grpSd/unitSdWbSlave/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.