OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [src/] [grpStrobesClocks/] - Rev 185

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
185 Restructuring as source repository: Moved sources out of src subdirectory. rkastl 4901d 08h /sdhc-sc-core/trunk/src/grpStrobesClocks/
178 Fixed regression:
Testbenches did not support synchronous reset.
rkastl 4904d 01h /sdhc-sc-core/trunk/src/grpStrobesClocks/
170 License rewritten to BSD rkastl 4904d 02h /sdhc-sc-core/trunk/src/grpStrobesClocks/
165 Only use synchronous high active reset in SDHC-SC-Core. rkastl 4904d 02h /sdhc-sc-core/trunk/src/grpStrobesClocks/
164 Headers updated (LGPL, consistent format) rkastl 4904d 02h /sdhc-sc-core/trunk/src/grpStrobesClocks/
122 SdController: Initial read support rkastl 4904d 05h /sdhc-sc-core/trunk/src/grpStrobesClocks/
121 SdWbSlave inserted into SdTop. SdController does not use it yet. rkastl 4904d 05h /sdhc-sc-core/trunk/src/grpStrobesClocks/
118 EdgeDetector added. rkastl 4904d 05h /sdhc-sc-core/trunk/src/grpStrobesClocks/
107 Forgot to add Counter in last commit. rkastl 4904d 05h /sdhc-sc-core/trunk/src/grpStrobesClocks/
105 Changing speed works! refs #33 rkastl 4904d 05h /sdhc-sc-core/trunk/src/grpStrobesClocks/
100 SdController: Receiving data after ACMD51, but CRC is wrong rkastl 4904d 06h /sdhc-sc-core/trunk/src/grpStrobesClocks/
82 Timeoutgenerator: Build fixed rkastl 4904d 06h /sdhc-sc-core/trunk/src/grpStrobesClocks/
81 SdCmd: Build fixed and converted to better version rkastl 4904d 06h /sdhc-sc-core/trunk/src/grpStrobesClocks/
80 Renamed *.vhd to *.vhdl in last commit. rkastl 4904d 06h /sdhc-sc-core/trunk/src/grpStrobesClocks/
79 Rs232Tx: added to TbdSd
TimeoutGenerator: written
rkastl 4904d 06h /sdhc-sc-core/trunk/src/grpStrobesClocks/
67 IP added rkastl 4904d 06h /sdhc-sc-core/trunk/src/grpStrobesClocks/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.