OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [src/] [grpWishbone/] - Rev 185

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
185 Restructuring as source repository: Moved sources out of src subdirectory. rkastl 4890d 08h /sdhc-sc-core/trunk/src/grpWishbone/
184 Removed WbSlave shell (refs #69)
Moved verification sources to grpSdVerification (fixes #70)
rkastl 4893d 02h /sdhc-sc-core/trunk/src/grpWishbone/
170 License rewritten to BSD rkastl 4893d 02h /sdhc-sc-core/trunk/src/grpWishbone/
164 Headers updated (LGPL, consistent format) rkastl 4893d 02h /sdhc-sc-core/trunk/src/grpWishbone/
115 WbSlave: New header. rkastl 4893d 06h /sdhc-sc-core/trunk/src/grpWishbone/
30 Wishbone: No wave.do rkastl 4893d 06h /sdhc-sc-core/trunk/src/grpWishbone/
28 Wishbone: reads and writes as procedures in the tb rkastl 4893d 06h /sdhc-sc-core/trunk/src/grpWishbone/
27 Wishbone: Testbench tests a single ClassicRead rkastl 4893d 06h /sdhc-sc-core/trunk/src/grpWishbone/
26 Wishbone: Changed entity to reflect the real width of iAdr rkastl 4893d 06h /sdhc-sc-core/trunk/src/grpWishbone/
25 Wishbone: ClassicRead and ClassicWrite implemented, basic testbench
created
rkastl 4893d 06h /sdhc-sc-core/trunk/src/grpWishbone/
24 Wishbone: Build fixed for splitted packages. rkastl 4893d 06h /sdhc-sc-core/trunk/src/grpWishbone/
23 Wishbone: Package split into a global and a specific one. rkastl 4893d 06h /sdhc-sc-core/trunk/src/grpWishbone/
22 Wishbone: Processes for statemachine created rkastl 4893d 06h /sdhc-sc-core/trunk/src/grpWishbone/
21 Wishbone: Control signals into records, unfortunately signals with a
width dependend on generics can not be used in records before VHDL2008.
rkastl 4893d 06h /sdhc-sc-core/trunk/src/grpWishbone/
20 Wishbone: Directions added to port, support for synchronous cycle
termination added to entity (and therefore new types in the package were
created)
rkastl 4893d 06h /sdhc-sc-core/trunk/src/grpWishbone/
19 Wishbone: Fixed syntax errors in WbSlave-Rtl-ea.vhdl
Only commit after a sucessful compiler run.
rkastl 4893d 06h /sdhc-sc-core/trunk/src/grpWishbone/
18 Wishbone: Slave entity started, Build file generated rkastl 4893d 06h /sdhc-sc-core/trunk/src/grpWishbone/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.