OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [src/] [grpWishbone/] [unitWbSlave/] [src/] - Rev 25

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
25 Wishbone: ClassicRead and ClassicWrite implemented, basic testbench
created
rkastl 4934d 09h /sdhc-sc-core/trunk/src/grpWishbone/unitWbSlave/src/
23 Wishbone: Package split into a global and a specific one. rkastl 4934d 09h /sdhc-sc-core/trunk/src/grpWishbone/unitWbSlave/src/
22 Wishbone: Processes for statemachine created rkastl 4934d 09h /sdhc-sc-core/trunk/src/grpWishbone/unitWbSlave/src/
21 Wishbone: Control signals into records, unfortunately signals with a
width dependend on generics can not be used in records before VHDL2008.
rkastl 4934d 09h /sdhc-sc-core/trunk/src/grpWishbone/unitWbSlave/src/
20 Wishbone: Directions added to port, support for synchronous cycle
termination added to entity (and therefore new types in the package were
created)
rkastl 4934d 09h /sdhc-sc-core/trunk/src/grpWishbone/unitWbSlave/src/
19 Wishbone: Fixed syntax errors in WbSlave-Rtl-ea.vhdl
Only commit after a sucessful compiler run.
rkastl 4934d 09h /sdhc-sc-core/trunk/src/grpWishbone/unitWbSlave/src/
18 Wishbone: Slave entity started, Build file generated rkastl 4934d 09h /sdhc-sc-core/trunk/src/grpWishbone/unitWbSlave/src/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.