OpenCores
URL https://opencores.org/ocsvn/sha256_hash_core/sha256_hash_core/trunk

Subversion Repositories sha256_hash_core

[/] [sha256_hash_core/] [trunk/] - Rev 5

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
5 Reduced images sizes. jdoin 2942d 09h /sha256_hash_core/trunk/
4 Reduced block diagrams image sizes. jdoin 2942d 09h /sha256_hash_core/trunk/
3 Added GV_SHA256 block logic schematics. jdoin 2942d 13h /sha256_hash_core/trunk/
2 SHA256 RTL code simulated and verified, to all NIST verification vectors.
Pre-par synthesis show 74MHz clock rate, with no pipelining.
jdoin 2942d 14h /sha256_hash_core/trunk/
1 The project and the structure was created root 2946d 13h /sha256_hash_core/trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.