OpenCores
URL https://opencores.org/ocsvn/spdif_interface/spdif_interface/trunk

Subversion Repositories spdif_interface

[/] [spdif_interface/] [trunk/] [rtl/] [vhdl/] - Rev 27

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
27 Alternate dual port memory implementation for Altera FPGA's. gedra 7316d 23h /spdif_interface/trunk/rtl/vhdl/
26 Fixed a few bugs. gedra 7318d 23h /spdif_interface/trunk/rtl/vhdl/
25 Changed status reg. declaration gedra 7318d 23h /spdif_interface/trunk/rtl/vhdl/
24 Added channel status decoding. gedra 7318d 23h /spdif_interface/trunk/rtl/vhdl/
20 Renamed generic and cleaned some lint's gedra 7322d 00h /spdif_interface/trunk/rtl/vhdl/
19 Added frame decoder and sample extractor gedra 7322d 00h /spdif_interface/trunk/rtl/vhdl/
18 Frame decoder and sample extractor gedra 7322d 00h /spdif_interface/trunk/rtl/vhdl/
17 Cleaned up lint warnings. gedra 7324d 23h /spdif_interface/trunk/rtl/vhdl/
16 Added dual port ram. gedra 7325d 22h /spdif_interface/trunk/rtl/vhdl/
15 Generic dual port ram model. gedra 7325d 22h /spdif_interface/trunk/rtl/vhdl/
14 Receiver component declarations. gedra 7328d 00h /spdif_interface/trunk/rtl/vhdl/
13 Cleaned up lint warnings. gedra 7329d 02h /spdif_interface/trunk/rtl/vhdl/
11 Early version of the bi-phase mark decoder. gedra 7329d 02h /spdif_interface/trunk/rtl/vhdl/
10 Recevier status register gedra 7330d 00h /spdif_interface/trunk/rtl/vhdl/
9 Channel status/user data capture register gedra 7330d 00h /spdif_interface/trunk/rtl/vhdl/
8 Cleaned up lint warnings. gedra 7331d 02h /spdif_interface/trunk/rtl/vhdl/
7 Receiver version register. gedra 7332d 00h /spdif_interface/trunk/rtl/vhdl/
6 Generic event register. Used in both receiver and transmitter. gedra 7332d 00h /spdif_interface/trunk/rtl/vhdl/
5 Generic control register. Used in both recevier and transmitter. gedra 7332d 00h /spdif_interface/trunk/rtl/vhdl/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.