OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] - Rev 11

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
11 FM gryzor 4049d 21h /sqmusic/
10 Memos added gryzor 4049d 22h /sqmusic/
9 Added --wait option gryzor 4051d 09h /sqmusic/
8 Added mame files for reference gryzor 4051d 12h /sqmusic/
7 Fixed const integer widths gryzor 4052d 02h /sqmusic/
6 Fixed Capcom interface to work with FPGAs gryzor 4052d 03h /sqmusic/
5 Added PWM to 1942 board gryzor 4052d 21h /sqmusic/
4 Added PWM converter. Not proven with 1942 yet. gryzor 4054d 01h /sqmusic/
3 Added all files needed to play 1942's music gryzor 4055d 03h /sqmusic/
2 svn test gryzor 4055d 04h /sqmusic/
1 The project and the structure was created root 4055d 13h /sqmusic/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.