OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] [trunk/] - Rev 23

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
23 Added limits gryzor 4112d 13h /sqmusic/trunk/
22 WIP gryzor 4155d 23h /sqmusic/trunk/
21 Added ilegal arguments gryzor 4156d 14h /sqmusic/trunk/
20 Correct attenuation for total level parameter gryzor 4158d 11h /sqmusic/trunk/
19 Sine generator + pow module with basic functionality verified gryzor 4158d 14h /sqmusic/trunk/
18 WIP gryzor 4158d 21h /sqmusic/trunk/
17 Added real arguments gryzor 4159d 11h /sqmusic/trunk/
16 Added C++ class to process command line arguments gryzor 4159d 15h /sqmusic/trunk/
15 WIP gryzor 4159d 23h /sqmusic/trunk/
14 WIP gryzor 4160d 07h /sqmusic/trunk/
13 Mistery solved (?) gryzor 4160d 13h /sqmusic/trunk/
12 Phase generator for FM synthesis gryzor 4160d 14h /sqmusic/trunk/
11 FM gryzor 4161d 07h /sqmusic/trunk/
10 Memos added gryzor 4161d 08h /sqmusic/trunk/
9 Added --wait option gryzor 4162d 19h /sqmusic/trunk/
8 Added mame files for reference gryzor 4162d 22h /sqmusic/trunk/
7 Fixed const integer widths gryzor 4163d 12h /sqmusic/trunk/
6 Fixed Capcom interface to work with FPGAs gryzor 4163d 13h /sqmusic/trunk/
5 Added PWM to 1942 board gryzor 4164d 07h /sqmusic/trunk/
4 Added PWM converter. Not proven with 1942 yet. gryzor 4165d 12h /sqmusic/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.