OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] [trunk/] - Rev 15

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
15 WIP gryzor 4033d 12h /sqmusic/trunk/
14 WIP gryzor 4033d 20h /sqmusic/trunk/
13 Mistery solved (?) gryzor 4034d 01h /sqmusic/trunk/
12 Phase generator for FM synthesis gryzor 4034d 02h /sqmusic/trunk/
11 FM gryzor 4034d 20h /sqmusic/trunk/
10 Memos added gryzor 4034d 20h /sqmusic/trunk/
9 Added --wait option gryzor 4036d 07h /sqmusic/trunk/
8 Added mame files for reference gryzor 4036d 11h /sqmusic/trunk/
7 Fixed const integer widths gryzor 4037d 01h /sqmusic/trunk/
6 Fixed Capcom interface to work with FPGAs gryzor 4037d 02h /sqmusic/trunk/
5 Added PWM to 1942 board gryzor 4037d 20h /sqmusic/trunk/
4 Added PWM converter. Not proven with 1942 yet. gryzor 4039d 00h /sqmusic/trunk/
3 Added all files needed to play 1942's music gryzor 4040d 01h /sqmusic/trunk/
2 svn test gryzor 4040d 03h /sqmusic/trunk/
1 The project and the structure was created root 4040d 11h /sqmusic/trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.