OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] [trunk/] [ver/] - Rev 21

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
20 Correct attenuation for total level parameter gryzor 4046d 11h /sqmusic/trunk/ver/
19 Sine generator + pow module with basic functionality verified gryzor 4046d 14h /sqmusic/trunk/ver/
18 WIP gryzor 4046d 21h /sqmusic/trunk/ver/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.