OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] - Rev 12

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 Added absolute priority arbitration to ring to avoid
having two ring taps transmit at same time
ghutchis 5343d 10h /srdydrdy_lib/
11 Updated bridge example to fix a number of small bugs.
First packet now exits bridge from all ports.
ghutchis 5344d 09h /srdydrdy_lib/
10 Fixed "locked" variable in rrslow ghutchis 5344d 13h /srdydrdy_lib/
9 Added rx_gigmac, additional debug work on concentrator & fib ghutchis 5344d 13h /srdydrdy_lib/
8 Added compiling version of bridge example ghutchis 5346d 01h /srdydrdy_lib/
7 Added rrslow ghutchis 5348d 05h /srdydrdy_lib/
6 Modified "B" output buffer for full-rate operation ghutchis 5350d 14h /srdydrdy_lib/
5 Added new component for port ring ghutchis 5351d 06h /srdydrdy_lib/
4 Added example directory with basic bridge ghutchis 5352d 00h /srdydrdy_lib/
3 Added small/synchronizer FIFO, along with minimal testbench ghutchis 5353d 00h /srdydrdy_lib/
2 Initial commit of directory structure and basic components ghutchis 5357d 09h /srdydrdy_lib/
1 The project and the structure was created root 5365d 01h /srdydrdy_lib/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.