OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_6_beta/] [bench/] - Rev 140

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
140 remove tAW sanity check
conflicts with OUTL A, BUS
arniml 7195d 23h /t48/tags/rel_0_6_beta/bench/
133 add checks for PSEN arniml 7239d 18h /t48/tags/rel_0_6_beta/bench/
111 split 4k internal ROM into
+ 2k internal ROM
+ 2k external ROM
EA of t48_core is driven by MSB of internal ROM address
if upper 2k block is selected, the system switches to EA mode on the fly
arniml 7353d 07h /t48/tags/rel_0_6_beta/bench/
110 exchange syn_rom for lpm_rom arniml 7353d 07h /t48/tags/rel_0_6_beta/bench/
103 add testbench peripherals for P1 and P2
this became necessary to observe a difference between externally applied
port data and internally applied port data
arniml 7357d 04h /t48/tags/rel_0_6_beta/bench/
83 connect if_timing to P2 output of T48 arniml 7378d 22h /t48/tags/rel_0_6_beta/bench/
82 check expander timings arniml 7378d 22h /t48/tags/rel_0_6_beta/bench/
81 initial check-in arniml 7379d 02h /t48/tags/rel_0_6_beta/bench/
80 added if_timing arniml 7379d 02h /t48/tags/rel_0_6_beta/bench/
68 connect T0 and T1 to P1 arniml 7386d 00h /t48/tags/rel_0_6_beta/bench/
67 initial check-in arniml 7386d 00h /t48/tags/rel_0_6_beta/bench/
56 wait for instruction strobe after final end-of-simulation detection
this ensures that the last mov instruction is part of the dump and
enables 100% matching with i8039 simulator
arniml 7389d 22h /t48/tags/rel_0_6_beta/bench/
33 rename pX_limp to pX_low_imp arniml 7405d 23h /t48/tags/rel_0_6_beta/bench/
30 connect prog_n_o arniml 7406d 21h /t48/tags/rel_0_6_beta/bench/
19 enhance simulation result string arniml 7408d 20h /t48/tags/rel_0_6_beta/bench/
10 put ext_ram on falling clock edge to sample the write enable proberly arniml 7410d 19h /t48/tags/rel_0_6_beta/bench/
8 initial check-in arniml 7410d 21h /t48/tags/rel_0_6_beta/bench/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.