OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_4/] - Rev 66

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
66 add temporary workaround for GHDL 0.11 arniml 7391d 04h /t48/tags/rel_1_4/
65 clean up sensitivity list arniml 7391d 04h /t48/tags/rel_1_4/
64 + enhance instruction strobe generation
+ rework address output under EA=1 conditions
arniml 7391d 04h /t48/tags/rel_1_4/
63 reset machine state to MSTATE3 to allow proper instruction fetch
after reset
arniml 7391d 04h /t48/tags/rel_1_4/
62 initial check-in arniml 7391d 04h /t48/tags/rel_1_4/
61 expand script for dump compare arniml 7393d 00h /t48/tags/rel_1_4/
60 + add marker for injected calls
+ suppress intstruction strobes for injected calls
arniml 7394d 01h /t48/tags/rel_1_4/
59 increment prescaler with MSTATE4 arniml 7394d 01h /t48/tags/rel_1_4/
58 add periodic interrupt arniml 7394d 01h /t48/tags/rel_1_4/
57 abort if no interrupt occurs arniml 7394d 01h /t48/tags/rel_1_4/
56 wait for instruction strobe after final end-of-simulation detection
this ensures that the last mov instruction is part of the dump and
enables 100% matching with i8039 simulator
arniml 7395d 02h /t48/tags/rel_1_4/
55 add dependency to tb_behav_pack for decoder arniml 7395d 02h /t48/tags/rel_1_4/
54 - add tb_istrobe_s arniml 7395d 02h /t48/tags/rel_1_4/
53 make istrobe visible through testbench package arniml 7395d 02h /t48/tags/rel_1_4/
52 + fix bug in PSW[3]
+ read SP properly for dump
arniml 7395d 02h /t48/tags/rel_1_4/
51 + implement Port1 and Port2
+ connect T0 and T1
+ return proper program memory contents
arniml 7395d 02h /t48/tags/rel_1_4/
49 Imported sources arniml 7400d 03h /t48/tags/rel_1_4/
48 update copyright notice arniml 7400d 03h /t48/tags/rel_1_4/
47 initial check-in arniml 7400d 03h /t48/tags/rel_1_4/
46 fix test arniml 7402d 01h /t48/tags/rel_1_4/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.