OpenCores
URL https://opencores.org/ocsvn/t80/t80/trunk

Subversion Repositories t80

[/] [t80/] [trunk/] [rtl/] - Rev 49

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
47 New directory structure. root 5569d 10h /t80/trunk/rtl/
46 Made some bugfixes andreas 6857d 02h /trunk/rtl/
45 Fixed loopback break generation jesus 7858d 05h /trunk/rtl/
44 Added some missing features and fixed baud rate generator jesus 7858d 18h /trunk/rtl/
42 Fixed bus req/ack cycle jesus 7867d 06h /trunk/rtl/
41 Removed UNISIM library jesus 7867d 06h /trunk/rtl/
40 Cleanup jesus 7867d 06h /trunk/rtl/
37 Changed to single register file jesus 7895d 06h /trunk/rtl/
36 Added component declaration jesus 7895d 06h /trunk/rtl/
35 Release 0242 jesus 7901d 18h /trunk/rtl/
34 Updated for ISE 5.1 jesus 7901d 23h /trunk/rtl/
29 Fixed (IX/IY+d) timing and added all GB op-codes jesus 7920d 17h /trunk/rtl/
27 Xilinx SSRAM, initial release jesus 7921d 17h /trunk/rtl/
26 Fixed instruction timing for POP and DJNZ jesus 7935d 09h /trunk/rtl/
25 IX/IY timing and ADC/SBC fix jesus 7936d 19h /trunk/rtl/
24 no message jesus 7942d 15h /trunk/rtl/
23 Fixed T2Write jesus 7942d 16h /trunk/rtl/
22 Added 8080 top level jesus 7942d 16h /trunk/rtl/
20 Updated for new T80s generic jesus 7947d 15h /trunk/rtl/
19 Initial version jesus 7947d 15h /trunk/rtl/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.