OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] - Rev 182

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
182 diegovalverde 4657d 10h /theia_gpu/
181 diegovalverde 4657d 12h /theia_gpu/
180 Fixing bug diegovalverde 4657d 13h /theia_gpu/
179 Fix from previous commit diegovalverde 4658d 11h /theia_gpu/
178 Latest changes to try to fix bug with many triangles. WIP diegovalverde 4658d 11h /theia_gpu/
177 Adding changed I missed from previous commit diegovalverde 4671d 11h /theia_gpu/
176 Added support for parametrized number of TMEM banks. Also changes cross-bar by some ugly muxes, so that it runs on Verilator. diegovalverde 4673d 12h /theia_gpu/
175 Fixing warnings from Verilator diegovalverde 4679d 13h /theia_gpu/
174 Removed combinatorial loops detectd by verilator. diegovalverde 4684d 23h /theia_gpu/
173 Adding file top.v diegovalverde 4684d 23h /theia_gpu/
172 Modifying to use new testbed by default diegovalverde 4684d 23h /theia_gpu/
171 Added TestBench_verilator.cpp and TestBench_verilog.v diegovalverde 4684d 23h /theia_gpu/
170 diegovalverde 4688d 17h /theia_gpu/
169 Adding scripts folder diegovalverde 4691d 10h /theia_gpu/
168 Addign example files diegovalverde 4691d 10h /theia_gpu/
167 Added variables to add generic number of cores diegovalverde 4691d 10h /theia_gpu/
166 diegovalverde 4691d 10h /theia_gpu/
165 No log files shall be created by defualt diegovalverde 4692d 14h /theia_gpu/
164 Getting rid of warnings diegovalverde 4693d 09h /theia_gpu/
163 Moved this to the simulation folder diegovalverde 4699d 12h /theia_gpu/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.