OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [trunk/] - Rev 71

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
71 Added a flag in control unit to set the memory to swap or not, this is, while IO is populating one copy, EXE is working on the other, then they get swapped diegovalverde 5285d 21h /theia_gpu/trunk/
70 Fixed some of the arithmetic modules, so that we now can have EXE and IO work in parallel. diegovalverde 5285d 21h /theia_gpu/trunk/
69 Latest version that supports parallelising IO with EXE. diegovalverde 5285d 21h /theia_gpu/trunk/
68 Initial Commit. diegovalverde 5315d 11h /theia_gpu/trunk/
67 Initial Commit. diegovalverde 5315d 11h /theia_gpu/trunk/
66 Initial Commit. diegovalverde 5315d 11h /theia_gpu/trunk/
65 Initial Commit. diegovalverde 5315d 11h /theia_gpu/trunk/
64 Initial Commit. diegovalverde 5315d 11h /theia_gpu/trunk/
63 These changes allow to have a more clear shading model. I will commit examples on how to write shaders soon. diegovalverde 5315d 11h /theia_gpu/trunk/
62 Initial Commit. diegovalverde 5315d 11h /theia_gpu/trunk/
61 Fixed errors from previous commit. Basically I commited the wrong file... oops diegovalverde 5323d 12h /theia_gpu/trunk/
60 This version supports user code. diegovalverde 5324d 07h /theia_gpu/trunk/
59 Initial Commit for this file. diegovalverde 5324d 07h /theia_gpu/trunk/
55 Adding missing files from Initial commit. diegovalverde 5340d 08h /theia_gpu/trunk/
54 Initial Commit. diegovalverde 5342d 08h /theia_gpu/trunk/
53 Adding missing files from Initial commit. diegovalverde 5342d 09h /theia_gpu/trunk/
52 Initial Commit. diegovalverde 5343d 09h /theia_gpu/trunk/
51 Initial Commit. diegovalverde 5343d 09h /theia_gpu/trunk/
50 Initial Commit. diegovalverde 5343d 09h /theia_gpu/trunk/
49 Initial Commit. diegovalverde 5343d 09h /theia_gpu/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.