OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [docs/] - Rev 29

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
29 Well, added a testcase for testing the ALU bitwise operations and found a very large problem.
I'm pretty sure that the decoder needs to be partially unclocked because it essentially makes the ALU clocked how it is now, which is very bad.
earlz 4410d 10h /tinycpu/trunk/docs/
27 Added a few ALU opcodes and came across a weird propogation delay issue with my registerfile.
As a workaround, I'm trying to use falling_edge instead of rising_edge. We shall see if I regret this later
earlz 4411d 10h /tinycpu/trunk/docs/
25 Wait for memory state now works as expected, and opcode `mov [reg], immd` works now earlz 4411d 16h /tinycpu/trunk/docs/
21 The core_tb testbench finally passes. It probably doesn't synthesize, or even pass other testbenches, but it passes that one damn it. earlz 4413d 02h /tinycpu/trunk/docs/
19 Got beginning of core/decoder for the CPU earlz 4414d 03h /tinycpu/trunk/docs/
17 Added fetch component for fetching from memory to instruction register
Added additional testing for carryover to make sure it's correct
earlz 4418d 02h /tinycpu/trunk/docs/
16 Renamed incdec to carryover (see design for why).
carryover should be done, though may change the "straight through on disable" behavior to instead leaving it floating depending on how things go later with coding.
earlz 4421d 05h /tinycpu/trunk/docs/
14 Added ALU with all the operations we'll need. Synthesizes as well trivially earlz 4423d 10h /tinycpu/trunk/docs/
5 Modified registerfile to be dual-port for both read and write earlz 4429d 03h /tinycpu/trunk/docs/
4 Added internal memory interface
Updated design
earlz 4429d 11h /tinycpu/trunk/docs/
3 Updated registerfile to have 2 read ports
Added super rough design document mainly just for brainstorming
earlz 4430d 03h /tinycpu/trunk/docs/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.