OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] - Rev 19

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
19 Working on the top wishbone slave testbench.... still need some fixes (Both on the testbench and on the uart_control.vhd) leonardoaraujo.santos 4500d 05h /uart_block/
18 sdsd leonardoaraujo.santos 4500d 12h /uart_block/
17 Working on slave testbench and fixing some bugs leonardoaraujo.santos 4500d 13h /uart_block/
16 Adding testbench for wishbone slave module leonardoaraujo.santos 4500d 13h /uart_block/
15 Taking out some warnings and transparent latches from the design leonardoaraujo.santos 4500d 15h /uart_block/
14 Fixing some warnings... Adding wishbone slave leonardoaraujo.santos 4501d 10h /uart_block/
13 Working on uart_control testbench... also applying some fixes... leonardoaraujo.santos 4501d 11h /uart_block/
12 Working on the communication blocks leonardoaraujo.santos 4501d 12h /uart_block/
11 Adding uart_communication_block leonardoaraujo.santos 4501d 15h /uart_block/
10 Working on the control unit part leonardoaraujo.santos 4501d 19h /uart_block/
9 Adding Control unit for uart block leonardoaraujo.santos 4502d 06h /uart_block/
8 Solving some bugs in baud_generator.vhd leonardoaraujo.santos 4502d 17h /uart_block/
7 Remember to clean project files leonardoaraujo.santos 4503d 13h /uart_block/
6 Adding baud generator leonardoaraujo.santos 4503d 13h /uart_block/
5 Adding sequential division (32 cycles per 32 bit word) leonardoaraujo.santos 4508d 15h /uart_block/
4 Working on receiver leonardoaraujo.santos 4510d 15h /uart_block/
3 Deleting unused files and changing tests leonardoaraujo.santos 4510d 16h /uart_block/
2 Starting here .... leonardoaraujo.santos 4510d 17h /uart_block/
1 The project and the structure was created root 4511d 08h /uart_block/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.