OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [iseconfig/] - Rev 30

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
25 Adding some sample code on the doc folder, also adding the wishbone public domain library file leonardoaraujo.santos 4436d 07h /uart_block/trunk/hdl/iseProject/iseconfig/
20 Finishing at least the tests on testbench.... Was good to verify that the uart_control should be redesigned to allow concurrent receive and to clean the code... leonardoaraujo.santos 4437d 23h /uart_block/trunk/hdl/iseProject/iseconfig/
9 Adding Control unit for uart block leonardoaraujo.santos 4440d 01h /uart_block/trunk/hdl/iseProject/iseconfig/
7 Remember to clean project files leonardoaraujo.santos 4441d 08h /uart_block/trunk/hdl/iseProject/iseconfig/
6 Adding baud generator leonardoaraujo.santos 4441d 08h /uart_block/trunk/hdl/iseProject/iseconfig/
4 Working on receiver leonardoaraujo.santos 4448d 10h /uart_block/trunk/hdl/iseProject/iseconfig/
2 Starting here .... leonardoaraujo.santos 4448d 12h /uart_block/trunk/hdl/iseProject/iseconfig/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.