Username:
Password:
Remember me
Browse
Projects
Forums
About
Mission
Logos
Community
Statistics
HowTo/FAQ
FAQ
Project
SVN
WISHBONE
EDA Tools
Media
News
Articles
Newsletter
Licensing
Commerce
Shop
Advertise
Jobs
Partners
Maintainers
Contact us
Tools
Back to project
URL
https://opencores.org/ocsvn/uart_fpga_slow_control_migrated/uart_fpga_slow_control_migrated/trunk
1000base-x
10_100m_ethernet-fifo_convertor
128prng
1664
16_qam_qadm
16x2_lcd_controller
16x2_lcd_display_driver
1g_ethernet_dpi
2d_game_console
395_vgs
3des_vhdl
4-bit-system
4_fir_filter
6502vhdl
6809_6309_compatible_core
68hc05
68hc08
8-bit_brent_kung_adder
8-bit_kogge-stone_adder
802154phycore
8051
8b10b_encdec
8bit_vedic_multiplier
a-z80
a429_transmitter_receiver
a_vhd_16550_uart
a_vhdl_8253_timer
a_vhdl_can_controller
ac97
accelerating_neural_network
acxbrd
adaptive_lms_equalizer
adat_optical_feed_forward_receiver
adder
adder_tree
adv_debug_sys
ae18
aemb
aes
aes-128-ecb-encoder
aes-128_pipelined_encryption
aes-encryption
aes128
aes128_trojan
aes220
aes3rx
aes_128_192_256
aes_all_keylength
aes_beh_model
aes_core
aes_crypto_core
aes_decry_ip_128bit
aes_decrypt_fpga
aes_gcm_128_192_256
aes_highthroughput_lowarea
aes_pipe
aes_with_protections
ag_6502
agcnorm
ahb2wishbone
ahb_arbiter
ahb_m_wishbone_s
ahb_master
ahb_slave
ahb_system_generator
ahbmaster
ahci_sata
aic1106_avalon_ip
all-pole_filters
all_digital_fm_receiver
alpha_blender
alt_isa
alternascope
altor32
alu_with_selectable_inputs_and_outputs
alwcpu
am9080_cpu_based_on_microcoded_am29xx_bit-slices
amber
an-fpga-implementation-of-low-latency-noc-based-mpsoc
ao486
ao68000
aoocs
aor3000
apb2spi
apb_amba3
apb_mstr
apb_slave
apbi2c
apbtoaes128
Aquarius
ardufpga_ice40up5k
arm4u
artec_dongle_ii_fpga
artificial-intelligence-system
artificial_neural_network
ascpro1
aspida
astron_adder
astron_counter
astron_diagnostics
astron_fifo
astron_filter
astron_mm
astron_multiplexer
astron_multiplier
astron_pipeline
astron_r2sdf_fft
astron_ram
astron_requantizer
astron_sim_tools
astron_sim_transceiver
astron_statistics
astron_wb_fft
astron_wpfb
async_8b10b_encoder_decoder
async_sdm_noc
asynchronous_master_spi
ata
atlas_core
attiny_atmega_xmega_core
audio
auto_baud
avalon-wishbone-bridge
avr8
avr_core
avr_hp
avrtinyx61core
avs_aes
avuc
ax4lbr
ax8
axi4_tlm_bfm
axi_dma
axi_master
axi_slave
axi_vga
axi_vga_fb
b163arith
BasicDES
BasicRSA
baudgen
bcd_adder
bch_configurable
bch_dec_enc_dcd
big_counter
bilinear_demosaic
binary_to_bcd
biquad
biriscv
bit_gpio
bitserial
blue
bluespec-80211atransmitter
bluespec-h264
bluespec-reedsolomon
bluespec_md6
bluetooth
board
boost_converter
boundaries
bpsk_spread_spectrum_modulator_demodulator
brainfuckcpu
brisc
brsfmnce
btc_dsha256
btcfpgaminer
btcminer
bu_pacman
bubblesortmodule
bustap-jtag
butterflylight
bw_tiff_compression
c0or1k
c16
ca_prng
cachecontroller
cam
camellia
camellia-vhdl
can
canny_edge_detector
cascaded_fir_filter
cavlc
cbu_v1
ccsds_rxtxsoc
cdc_ufifo
cde
cereon
cf_cordic
cf_fft
cf_fir
cf_fp_mul
cf_interleaver
cf_ldpc
cf_rca
cf_ssp
cfft
cfi_ctrl
cheap_ethernet
chip-to-chip_authentication
cic
cic_core
cic_core_2
claw
clefia-fpga
color_converter
common
common_components
common_pkg
complex-gaussian-pseudo-random-number-generator
complexarithmetic
complexise
complexmultiplier
configurable_crc_core
configurator
connect-6
const_encoder
cop
copyblaze
cordic
cordic_atan_iq
cordic_engine
core1990_interlaken
core_arm
cortexi
cowgirl
cpu16
cpu6502_true_cycle
cpu65c02_true_cycle
cpu8080
cpu_lecture
cpugen
cr_div
cray2_reboot
crc802154
crcahb
crtg
cryptography
cryptopan_core
cryptosorter
csa
cxd9731
dallas_one-wire
darkriscv
datetime
dbg_interface
dblclockfft
dct
dct_idct
ddr
ddr2_sdram
ddr3_sdram
ddr3_synthesizable_bfm
ddr_sdr
dds_synthesizer
de1_olpcl2294_system
debouncer_vhdl
decoder
deflatecore
des
descore
design_dsp320tmsc10_with_vhdl
deslcore
deslxcore
desxcore
dfp
digifilter
diogenes
dirac
distributed_intelligence
divider
djpeg
dlp_controller
dma_ahb
dma_axi
dmt_tx
dmx512
double_fpu
dp_components
dp_pkg
dp_repack_data
dpll-isdn
dpsfmnce
dqpskmap
ds1621
dualspartainc6713cpci
dvb_s2_ldpc_decoder
dwt2d
e1framer
e1framerdeframer
ecg
eco32
ecpu_alu
ecriscv
edge
efficent_integrated_round_robin_arbiter
elm
elphel_353
embedded_risc
encore
ep2c35_board
epc_rfid_transponder
epp
erm16
erp
esoc
ether_arp_1g
etherblade_net_ver1
etherlab
ethernet_tri_mode
ethmac
ethmac10g
eus100lx
eusfs
extension_pack
ezidebug
ezusb_io
fac2222m
fade_ether_protocol
fast-crc
fast_antilog
fast_log
fat_32_file_parser
fault-tolerant_microprocessor
fbas_encoder
ffr16
fft2_size
fft_32
fft_fir_filter
fftprocessor
fht
fifo_srl_uni
fir_filter
fir_filter_generator
fir_wishbone
firewire
firgen
fixed-point-sqrt
fixed_extensions
fixed_point_arithmetic_parameterized
flexgripplus
flha
fli
floating_point_adder_subtractor
floating_point_unit
floppyif
fluid_core_2
fmtransmitter
forth-cpu
forwardcom
fp24fftk
fp_log
fpga
fpga-cf
fpga-median
fpgaconfig
fpo
fpu
fpu100
fpu64_rx4rsqrt
fpu_double
fpuvhdl
fpz8
freeahb
freq_div
fsl2serial
ft2232hcore
ft245r_interface
ft60x_axi
ft816float
ftdi_wb_bridge
fully-pipelined-edge-detection-algorithms
fully_pipelined_128_aes_algorithm
funbase_ip_library
functiongenerator
fwrisc
g729a_codec
galois_lfsr
gamepads
gbiteth
gcm-aes
gecko3
gecko4
generic_booth_multipler
generic_fifos
generic_parameterized_carry_lookahead_adder
generic_parameterized_mux
genesys_ddr2
gfir
gh_vhdl_library
gigabit_udp_mac
gnextrapolator
gng
gost28147
gost28147-89
gpib_controller
gpio
gppd
gpu
grain
graphicallcd
graphicsaccelerator
graphiti
griva
groundhog2009_repository
gsc
gup
ha1588
hamming
hamming_gen
hasm
hcsa_adder
hd44780_driver
hd63701
hdbn
hdl-deflate
hdlc
heap_sorter
help
hermes_lite_2_sdr_core
hf-risc
hicovec
hierarch_unit
highload
hight
hilbert_transformer
hive
hmta
hpc-16
hpdmc
hssdrc
ht_tunnel
huffmandecoder
hwlu
i2c
i2c_master_slave
i2c_master_slave_core
i2c_slave
i2c_to_wb
i2c_wb_wrapper
i2cgpio
i2clcd
i2clog
i2crepeater
i2cslave
i2s
i2s_interface
i2s_to_parallel
i2s_to_wb
i2sparalell
i650
i8255
ic6821
idea
igor
iicmb
iiepci
ima_adpcm_enc_dec
ima_adpcm_encoder
image_component_labeling_and_feature_extraction
instruction_list_pipelined_processor_with_peripherals
integer_square_root
intel8031
interface_vga80x40
interrupt_controller
ion
iota_pow_vhdl
ipv4_packet_transmitter
iqcorrection
irda
irig_regenerator
iso7816-3
iso7816_3_master
isqrt_dbd
jart
jop
jpeg
jpeg_core
jpegencode
jt51
jtag
jtag_master
jtag_slave
jtag_stapl_player
jtag_tap_verilog
k68
k7_viterbi_decoder
kcpsm3_interrupt_handling
keras_to_fpga
keyboardcontroller
keypad_scanner
kianriscv
kiss-board
klc32
kvcordic
lateq
lattice6502
layer2
lcd
lcd1
lcd162b_behavior
lcd_block
lcd_controller
lcd_to_hdmi_output_ip
ldpc
ldpc_decoder_802_3an
ldpc_encoder_802_3an
lem1_9min
leros
leros32
lfsr_randgen
lfsrcountergenerator
light52
light8080
line_codes
linkruncca
loadbalancer
local_dimming_algorithm
log_anal
logicprobe
low_cost_pci_card
lowpowerfir
lp_iir_filter
lpc
lpd8806
lpffir
lpu
lq057q3dc02
lwmips
lwrisc
lxp32
lzrw1-compressor-core
m16c5x
m1_core
m32632
m65c02
mac
mac_layer_switch
macroblock_motion_detection
madi_receiver
man2uart
manchesterencoderdecoder
manchesteruart
manchesterwireless
marca
marcus_proj1
masocist
matrix3x3
matrix_operations
matrixdetermprocessor
maxii-evalboard
mb-jpeg
mblite
mc6803
mc68hc11_dsp56156
mcip_open
mcpu
mcs-4
mcu
mcu8
md5
md5_pipelined
mdct
mem_ctrl
memory_cores
memory_sizer
memorypolynomial
mesi_isc
mfpga
mhcqcm
microprocessor
microriscii
milstd1553bbusprotocol
mini-acex1k
mini_aes
minimac
minimips
minimips_superscalar
minirisc
miniuart2
minsoc
mipi_csi_2
mips32
mips32_r1
mips32r1
mips789
mips_16
mips_enhanced
mips_fault_tolerant
mipsr2000
mix-fpga
mjpeg-decoder
mjpeg-decoder_new
mkjpeg
mlite
mm1
mmac97
mmcfpgaconfig
mmio_i2c
mmu180
mmuart
mod3_calc
mod_mult_exp
mod_sim_exp
modbus
modular_oscilloscope
motion_controller
motion_estimation_processor
mpdma
mpeg2fpga
mpeg4_video_coding
mpmc8
mpx
msp430_vhdl
muart
mult_booth_array
multimicrophone_interface
multiply-accumulate
my8085light
myblaze
myforthprocessor
mygpu
myhdl_lfsr
mytwoqcache
nand_controller
nanoblaze
natalius_8bit_risc
navre
ncore
nec_decoder
nec_ir_decoder
nemo_emotion
neo430
neopixel_fpga
neorv32
neot
neptune-core
nescontroller
neural_net_perceptron
next186
next186_soc_pc
next186mp3
nextz80
nfcc
nfhc
nios2ci
nlprg
nnARM
noc
nocem
nocmodel
noekeoncore
nonrestoringsquareroot
nova
npigrctrl
ntsc_vid_encoder
numbert_sort_device
nysa_sata
oab1
oberon_sdram
oc-h264-encoder
oc54x
oc_axi_bfm
ocmips
ocrp-1
octagon
odess_multicore_project
ofdm
oks8
omega
omrpv2
oms8051mini
onewire
oops
op2p
opb_onewire
opb_ps2_keyboard_controller
opb_psram_controller
opb_udp_transceiver
opb_usblite
opb_vga_char_display_nodac
opb_wb_wrapper
open8_urisc
open_1394_intellectual_property
open_free_list
open_hitter
open_source_tensor_like_processor_for_fpga_prototyping
open_std_libs
openarty
opencl_bsp_nallatech_bittware_385a_40g_ethernet
opencores
opencpu
opencpu32
opencpu678085
openfire2
openfire_core
openfpu64
opengfx430
openhmc
openjtag-project
openlzs
openmsp430
openrisc
openrisc_2011-10-31
openrisc_me
openriscdevboard
opentech
openverifla
opl3_20
or1200_hp
or1200_soc
or1200gct
or1k
or1k-cf
or1k_old
or1k_soc_on_altera_embedded_dev_kit
or2k
orga-small-system
orpsoc
orsoc_graphics_accelerator
oscilloscope
osdvu
othellogame
ourisc
ov7670-sccb
ov7670capturing
ovcodec
p16c5x
p9813_rgb_led_string_driver
pairing
pap
parallel_io_through_fiber
parallel_scrambler
parallel_search_for_maximum_weight
parallelcrcgen
patterngen
pavr
pc_fpga_com
pci
pci-board
pci32tlite_oc
pci_blue_interface
pci_core
pci_express_crc
pci_ide_controller
pci_mini
pci_to_wb
pcie_ds_dma
pcie_mini
pcie_mini_axi4s_wb
pcie_sg_dma
pcie_vera_tb
pcounter
pdp1
pdp8
pdp8l
pepelatz_misc
performance_counter
perlilog
phoenix_controller
phr
pic
picoblaze_interrupt_controller
pid_controler
pid_controller
pif2wb
pipelined_dct
pipelined_fft_128
pipelined_fft_256
pipelined_fft_64
pipelined_fixed_point_elementary_functions
pit
plasma
plasma_fpu
plb2wbbridge
plbv46_to_wb_bridge
pltbutils
popcount_gen
potato
power_inverter
powersupplysequencer
ppcnorthbridge
pps4_2
ppx16
prbs_suite
present
present_encryptor
priority_encoder
processor
product_code_iterative_decoder
profibus_dp
programmabledct
project
ps2
ps2_host_controller
ps2_keyboard_interface
ps2core
psg16
pss
ptc
pulse_processing_algorithm
pwm
pwm_with_dithering
pyramid_unit
q_rotary_enc
qaz_libs
qfp32
qo
qrisc32
qspiflash
quad_decoder
quadratic_func
quadrature_oscillator
quadraturecount
quark
r2000
radiohdl
radixrsa
raggedstone
ram_wb
random_pulse_generator
raptor64
ratpack
raytrac
rc4-prbs
rc5_decoder
reed_solomon_codec
reed_solomon_codec_generator
reed_solomon_coder
reed_solomon_decoder
reedsolomon
rf68000
rf6809
rfid
rhp
rijndael
rijndael_aes
rio
risc16f84
risc5x
risc_core_i
RISCMCU
riscompatible
riscv_vhdl
rise
rng_lib
robot_control_library
robust_ahb_matrix
robust_axi2ahb
robust_axi2apb
robust_axi_fabric
robust_fir
robust_reg
rois
rosetta
round_robin_arbiter
rs232
rs232_interface
rs232_syscon
rs232_with_buffer_and_wb
rs_5_3_gf256
rs_dec_enc
rs_decoder_31_19_6
rs_encoder_decoder
rsa
rsa_512
rsa_core
rsencoder
rtc
rtcclock
rtea
rtf65002
rtf68ksys
rtf8088
rtf_sprite_controller
rtfbitmapcontroller
rtfsimpleuart
rtftextcontroller
russels_paradox
rv01_riscv_core
rxaui_interface_and_xaui_to_rxaui_interface_adapter
s1_core
s6soc
s80186
salsa20
sap
sap_1_nanoprogrammed_processor
sap_1_vertical_microprogrammed_processor
sap_hardwired_processor
sap_microprogrammed_processor
sardmips
sARM7TM
sasc
sata2_host_controller
sata_controller_core
sata_phy
satacontroller
saturn
sayeh_processor
sbd_sqrt_fp
sc2v
scalable_arbiter
scan_based_serial_communication
scarm
scarts
scct
sciir
scsi_chip
scsi_interface
sd_card_controller
sd_mmc_emulator
sdcard_mass_storage_controller
sdhc-sc-core
sdr_ctrl
sdr_sdram_ctrl
sdram
sdram_16bit
sdram_axi4
sdram_controller
sdram_core
sdram_ctrl
sdspi
sea
securehash256bits
seqalign
serial_div_uu
serpent_core
sertopar
sfpga
sftb
sgmii
sha-3
sha1
sha256_hash_core
sha256core
sha3
sha_core
siga
sigma_delta_dac_dual_loop
signed_integer_divider
signed_unsigned_multiplier_and_divider
simon_core
simpcon
simple_agc
simple_bus_architecture
simple_customized_counter
simple_fm_receiver
simple_gpio
simple_pic
simple_spi
simple_uart_for_fpga
simplerisc_32bit_pipelined_processor
simpletousesha2
simu_mem
sincos
single-14-segment-display-driver-w-decoder
single_clock_divider
single_port
sio
slave_vme_bridge
sm3_core
smallarm
smartipphone_si160
smbus_if
smii
soc_auto_vbus
soc_maker
socexplorer
socgen
sockit_owm
socwire
softavrcore
softusb
som_arm9_cycloneivgx
sp6_pic32
spacewire
spacewire_light
spacewiresystemc
sparc64soc
spartan6_pcie
spdif_interface
spdif_transmitter
special_function_unit_ppa
special_functions_unit
spi
spi-slave
spi2ram
spi_boot
spi_core_dsp_s3ean_kits
spi_master_controller
spi_master_lightweight
spi_master_slave
spi_slave
spi_slave_wb_master
spi_verilog_master_slave
spiadc
spicc
spicxif
spidac
spiflashcontroller
spigpio
spimaster
spislave
sport
sportinterface
sqmusic
srdydrdy_lib
srl_fifo
ss_pcm
ssbcc
ssp_slv
ssp_uart
ssram
stack_design
stackmachine
statled
steelcore
steppermotordrive
storm_core
storm_soc
structural_vhdl
sts1
sttmtj
sub86
subleq-eforth
sudoku
superscalar_dlx
suslik
sv_dir_tb
svmac
sxp
synchronous_reset_fifo
synth
system05
System09
System11
System68
system6801
systemc_cordic
systemc_rng
systemcaes
systemcdes
systemcmd5
systemverilog-uart16550
t400
t48
t51
t65
t6507lp
t80
taar
tanhapprox
tcp_ip_core_w_dhcp
tcp_socket
tdm
tdm_switch
ternary_adder
test
test-1
test-project
test1
test3
test_project
test_project_me1
tg68
tg68kc
the_wizardry_project
theia_gpu
thor
threeaesc
timerocd
timestamp
tiny64
tiny8
tiny_aes
tiny_encryption_algorithm
tiny_spi
tiny_tate_bilinear_pairing
tinycpu
tinyvliw8
tisc
tlc2
tm1637
tms1000
tosnet
totalcpu
triangle_wave_generator
trigonometric_functions_in_double_fpu
ts7300_opencore
tsv
ttl_library
turbo8051
turbocodes
tv80
two_dimensional_fast_hartley_transform
twofish
twofish_team
typhoon
uart
uart16550
uart16750
uart2bus
uart2bus_testbench
uart2spi
uart6551
uart8systemc
uart_block
uart_fiber
uart_fifo_cpu_if_sv_testbench
uart_fpga_slow_control_migrated
uart_observer
uart_plb
uart_serial
ucore
udp_half_deplex
udp_ip__core
udp_ip_stack
udp_ipv4_for_10g_ethernet
udp_rgmii
ulpi_wrapper
ultimate_crc
ultravec
unconfuser
uos_processor
up_core_list
upcable
uriscv
usb
usb11
usb11_phy_translation
usb11_sim_model
usb1_funct
usb2uart
usb_device_core
usb_dongle_fpga
usb_fpga_1_11
usb_fpga_1_15
usb_fpga_1_2
usb_fpga_2_04
usb_fpga_2_13
usb_fpga_2_14
usb_fpga_2_16
usb_ft232h_avalon-mm_interface
usb_host_core
usb_nand_reader
usb_phy
usb_sniffer
usbhostslave
usimplez
utosnet
v586
v6502
v65c816
vapi
various_clocks
vectorial_generator
verilog_cordic_core
verilog_fixed_point_math_library
verilog_vga
veristruct
versatile_counter
versatile_fft
versatile_fifo
versatile_io
versatile_library
versatile_mem_ctrl
vg_z80_sbc
vga_lcd
vgafb
vhcg
vhdl-pipeline-mips
vhdl_6530_rriot
vhdl_6532_riot
vhdl_cpu_emulator
vhdl_wavefiles
vhdl_wb_tb
vhdlmd5
vhld_tb
via6522
video_dithering
video_starter_kit
video_stream_scaler
video_systems
viirf
virtex7_pcie_dma
virtual_rs232_terminal_with_lvds_lcd
vitdec
viterb_encoder_and_decoder
viterbi_decoder
viterbi_decoder_axi4s
viterbi_decoder_k_7_r_1_2
vliw_processor
vspi
vtach
w11
warp
watchdog
waveform_gen
wb2axi4
wb2axip
wb2hpi
wb2mig
wb2npi
wb4pb
wb_3p_spram_wrapper
wb_async_mem_bridge
wb_builder
wb_conbus
wb_conmax
wb_dma
wb_fifo
wb_flash
wb_lcd
wb_lpc
wb_mcs51
wb_prefetch_spram
wb_rtc
wb_sim_models
wb_size_bridge
wb_tk
wb_to_amba
wb_uart
wb_verilog
wb_vga
wb_z80
wbc_parallel_master
wbddr3
wbfmtx
wbicapetwo
wbif_68k
wbpwmaudio
wbscope
wbuart32
wdsp
wf3d
wiegand_ctl
wisbone_2_ahb
wishbone_bfm
wishbone_checker
wishbone_out_port
wishbone_spi_flash_interface
wishbone_uart_controller
wishboneaxi
workwithfiles
wpf
wrimm
ws2812
x25_protocol_interface_project
x86soc
xenie
xgate
xge_ll_mac
xge_mac
xilinx_scope
xilinx_virtex_fp_library
xmatchpro
xspi
xtea
xteacore
xucpu
xulalx25soc
y80e
yac
yacc
yadmc
yahamm
yanu
yavga
yellowstar
yifive
z3
z80_vhdl
z80control
z80soc
zap
zbt_sram_controller
zedboardoled
zet86
zipcpu
zorro_to_wishbone_bridge
zpu
ztachip
zx_ula
Subversion Repositories
uart_fpga_slow_control_migrated
[
/
] [
uart_fpga_slow_control
/] - Rev 34
Rev
Filtering Options
From rev
To rev
Max revs
Search for
Clear current filter
Rev
Log message
Author
Age
Path
34
ADDED: Philips_PC16550dn_datasheet.pdf
aborga
4443d 21h
/uart_fpga_slow_control/
33
UPDATE: visio simplified diagram
aborga
4583d 14h
/uart_fpga_slow_control/
32
ADDED: OpenCores_description_html.txt
aborga
4584d 13h
/uart_fpga_slow_control/
31
ADDED: html version of the different site section back-upped in txt format
aborga
4584d 15h
/uart_fpga_slow_control/
30
MODIFIED: cosmetic changes on the SoftwareFolder.txt file
aborga
4584d 16h
/uart_fpga_slow_control/
29
UPDATED: project documentation for the new software features
aborga
4584d 16h
/uart_fpga_slow_control/
28
ADDED: software folder with python script (simple but stable) and .bat file to load RealTerm with parameters (extremely unstable)
aborga
4584d 17h
/uart_fpga_slow_control/
27
MODIFIED: small description improvement
aborga
4591d 17h
/uart_fpga_slow_control/
26
ADDED: screenshot of the simulation output with tb_uart_control.vhd (project tested with modelsim 6)
aborga
4665d 14h
/uart_fpga_slow_control/
25
MODIFIED: small comment improvement
aborga
4665d 16h
/uart_fpga_slow_control/
24
UPDATED: added folder testbenches with a generic tb_UART_control.vhd testbench
aborga
4665d 17h
/uart_fpga_slow_control/
23
MODIFIED:
renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency
propagated also changes in all comments
aborga
4665d 18h
/uart_fpga_slow_control/
22
aborga
4665d 18h
/uart_fpga_slow_control/
21
MODIFIED:
renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency
propagated also changes in all comments
aborga
4665d 19h
/uart_fpga_slow_control/
20
MODIFIED: block diagram with new namings for uart din and dout
aborga
4665d 19h
/uart_fpga_slow_control/
19
MODIFIED:
renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency
propagated also changes in all comments
aborga
4665d 19h
/uart_fpga_slow_control/
18
MODIFIED: removed unnecessary libraries
aborga
4666d 16h
/uart_fpga_slow_control/
17
DELETED: useless package folder
aborga
4666d 17h
/uart_fpga_slow_control/
16
MODIFIED: added
uart_rst_i : in std_logic;
uart_leds_o : out std_logic_vector(7 downto 0);
in the entity declaration
aborga
4666d 17h
/uart_fpga_slow_control/
15
UPDATED: email address
aborga
4668d 16h
/uart_fpga_slow_control/
1
2
Next >
Show All
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.