OpenCores
URL https://opencores.org/ocsvn/uart_fpga_slow_control_migrated/uart_fpga_slow_control_migrated/trunk

Subversion Repositories uart_fpga_slow_control_migrated

[/] [uart_fpga_slow_control/] [trunk/] [code/] - Rev 34

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
25 MODIFIED: small comment improvement aborga 4672d 18h /uart_fpga_slow_control/trunk/code/
24 UPDATED: added folder testbenches with a generic tb_UART_control.vhd testbench aborga 4672d 18h /uart_fpga_slow_control/trunk/code/
23 MODIFIED:

renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency

propagated also changes in all comments
aborga 4672d 19h /uart_fpga_slow_control/trunk/code/
22 aborga 4672d 20h /uart_fpga_slow_control/trunk/code/
21 MODIFIED:

renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency

propagated also changes in all comments
aborga 4672d 20h /uart_fpga_slow_control/trunk/code/
19 MODIFIED:

renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency

propagated also changes in all comments
aborga 4672d 20h /uart_fpga_slow_control/trunk/code/
18 MODIFIED: removed unnecessary libraries aborga 4673d 17h /uart_fpga_slow_control/trunk/code/
16 MODIFIED: added

uart_rst_i : in std_logic;
uart_leds_o : out std_logic_vector(7 downto 0);

in the entity declaration
aborga 4673d 19h /uart_fpga_slow_control/trunk/code/
15 UPDATED: email address aborga 4675d 17h /uart_fpga_slow_control/trunk/code/
7 MODIFIED: line 359 baudrate set aborga 4676d 19h /uart_fpga_slow_control/trunk/code/
3 CREATED: first code upload! :) aborga 4676d 20h /uart_fpga_slow_control/trunk/code/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.