OpenCores
URL https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk

Subversion Repositories versatile_mem_ctrl

[/] [versatile_mem_ctrl/] [trunk/] - Rev 19

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
19 Added do-file for Modelsim waveform viewer. mikaeljf 5227d 09h /versatile_mem_ctrl/trunk/
18 Updated the rtl/verilog Makefile and the bench Makefile. mikaeljf 5228d 06h /versatile_mem_ctrl/trunk/
17 Modified rtl Makefile and tb_defines.v mikaeljf 5231d 04h /versatile_mem_ctrl/trunk/
16 Added fizzim.pl mikaeljf 5231d 05h /versatile_mem_ctrl/trunk/
15 Added module 'dcm_pll.v' with Xilinx DCM and Altera altpll, also added module 'ddr_ff.v' with Xilinx IDDR/ODDR and Altera altddio_in/altddio_out. Added simple simulation script for Xilinx and Altera. Added simple synthesis script and SDC timing constraints for Altera. mikaeljf 5232d 05h /versatile_mem_ctrl/trunk/
14 Added external feedback of DDR SDRAM clock. mikaeljf 5322d 07h /versatile_mem_ctrl/trunk/
13 Modified DDR FSM for read and write, added counters for burst length, read/write latency, write recovery time etc. Added DCM with external feedback. mikaeljf 5322d 10h /versatile_mem_ctrl/trunk/
12 Minor update of whishbone FSMs in TB mikaeljf 5332d 11h /versatile_mem_ctrl/trunk/
11 Initial version with support for DDR mikaeljf 5332d 23h /versatile_mem_ctrl/trunk/
10 unneback 5360d 07h /versatile_mem_ctrl/trunk/
9 testbench unneback 5360d 07h /versatile_mem_ctrl/trunk/
8 unneback 5456d 03h /versatile_mem_ctrl/trunk/
7 unneback 5456d 03h /versatile_mem_ctrl/trunk/
6 unneback 5456d 03h /versatile_mem_ctrl/trunk/
5 pass initial testing unneback 5456d 04h /versatile_mem_ctrl/trunk/
4 unneback 5457d 07h /versatile_mem_ctrl/trunk/
3 unneback 5457d 09h /versatile_mem_ctrl/trunk/
2 initial unneback 5463d 07h /versatile_mem_ctrl/trunk/
1 The project was created and the structure was created root 5463d 07h /versatile_mem_ctrl/trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.