OpenCores
URL https://opencores.org/ocsvn/yavga/yavga/trunk

Subversion Repositories yavga

[/] [yavga/] [trunk/] [charmaps/] - Rev 37

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
36 chars_RAM and waveform_RAM are now inferred sandroamt 5514d 17h /yavga/trunk/charmaps/
35 - dafault config values in chars_RAM
- the charmaps ROM is now inferred
sandroamt 5515d 16h /yavga/trunk/charmaps/
28 parametrizing by constants... sandroamt 5538d 09h /yavga/trunk/charmaps/
23 - some signal was "resync-ed"
- stared coding in order to setup some configuration params
from "not displayed" chars RAM memory
sandroamt 5545d 11h /yavga/trunk/charmaps/
7 Now the chars.map is "visually" "easy" to edit sandroamt 5547d 12h /yavga/trunk/charmaps/
2 Yet Another VGA - First import to opencores sandroamt 5554d 11h /yavga/trunk/charmaps/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.