OpenCores
URL https://opencores.org/ocsvn/i2s_interface/i2s_interface/trunk

Subversion Repositories i2s_interface

[/] - Rev 21

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
21 Fixed equations for RATIO bits in configuration register. gedra 7197d 06h /
20 Fixed equations for RATIO bits in configuration register. gedra 7197d 07h /
19 De-linted. gedra 7360d 11h /
18 De-linting. gedra 7361d 05h /
17 Removed conf_inten, and fixed bug in transmitter master mode. gedra 7361d 05h /
16 Top level test bench. gedra 7363d 09h /
15 Transmitter top level, slave mode. gedra 7363d 09h /
14 Transmitter top level, master mode. gedra 7363d 09h /
13 Receiver top level, slave mode. gedra 7363d 09h /
12 Receiver top level, master mode. gedra 7363d 09h /
11 Transmitter component declarations. gedra 7363d 09h /
10 Receiver component declarations. gedra 7363d 09h /
9 Transmitter Wishbone cycle decoder. gedra 7364d 05h /
8 Receiver Wishbone cycle decoder. gedra 7364d 05h /
7 I2S encoder/decoder. gedra 7364d 05h /
6 Version register. gedra 7364d 05h /
5 Reuse notice. gedra 7364d 05h /
4 Wishbone test bench notice. gedra 7364d 05h /
3 I2S interface specification. gedra 7364d 05h /
2 GPL License gedra 7364d 05h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.