OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] - Rev 13

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
13 Removed reg_bank configuration control rhoads 8255d 23h /
12 Better support for dual-port memories, removed old method rhoads 8255d 23h /
11 Added comment for DEBUG mode rhoads 8255d 23h /
10 Add pause_in to process dependency, fixes "lw $4,0($4)" rhoads 8255d 23h /
9 Support for generic_tpram dual-port RAM rhoads 8261d 03h /
8 Preparing to use dual-port memory for registers. rhoads 8262d 00h /
7 Made writes 4 cycles, improved mem_ctrl.vhd rhoads 8267d 07h /
6 JAL now correctly sets r31 to instruction AFTER branch delay slot. Fixed interrupts. rhoads 8271d 05h /
5 This commit was manufactured by cvs2svn to create tag 'Version_1_0'. 8490d 05h /
4 Update web page rhoads 8490d 05h /
3 This commit was manufactured by cvs2svn to create tag 'arelease'. 8490d 06h /
2 MIPS-lite CPU core rhoads 8490d 06h /
1 Standard project directories initialized by cvs2svn. 8490d 06h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.