OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

[/] - Rev 14

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
14 search_item_wrapper bench debugged stvhawes 3311d 04h /
13 test bench for search_item stvhawes 3314d 08h /
12 wrapper test for search_item stvhawes 3319d 18h /
11 multiplex searh item added stvhawes 3320d 11h /
10 split source files to sime and rtl stvhawes 3334d 09h /
9 highlevel block diagram added stvhawes 3335d 06h /
8 sim sequence error fixed, so 20% success -> 100% success for unit test on fpga stvhawes 3335d 08h /
7 split clock/byte_ready and fix logic stvhawes 3340d 02h /
6 fixing synthesizable stvhawes 3341d 10h /
5 fixing synthesizable stvhawes 3341d 15h /
4 developing ideas around unit test / fpga verification stvhawes 3342d 03h /
3 developing ideas around unit test / fpga verification stvhawes 3342d 03h /
2 initial sources, wrappers for regression test harness stvhawes 3353d 05h /
1 The project and the structure was created root 3355d 00h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.