OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] - Rev 542

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
542 lot of new parameters concerning memory delays added; bpb parameter moved from cpu to new bpb section; UPDATE YOUR .CFG FILES! markom 8206d 21h /
541 lot of new parameters concerning memory delays added; bpb parameter moved from cpu to new bpb section; UPDATE YOUR .CFG FILES! markom 8206d 21h /
540 CS number added to mem dev list. simons 8207d 00h /
539 Missing parts added. simons 8207d 00h /
538 memory width increased to 32bit; new memory test mem_test added - simple big endian test markom 8207d 00h /
537 memory cycles are calculated according to parameters from .cfg file markom 8207d 02h /
536 Fixed typo. OR1200_REGISTERED_OUTPUTS was not defined. Should be. lampret 8207d 09h /
535 stats is updated; statical single stats removed; t command output cleaned, added time output; cycles is moved to instructions; cycles now count time markom 8207d 19h /
534 Changed to work with new simulator. simons 8207d 21h /
533 profiler updated; lot of comments; bug with missaligned return call resolving fixed markom 8208d 00h /
532 removed stats 6 command, handling SLP; function profiling is supported by profiler; subroutine level parallelism is not covered yet, but should be done in profiler markom 8208d 01h /
531 UART 16550 used. simons 8208d 14h /
530 THRI interrupt fixed. simons 8208d 14h /
529 Bug in receiver fixed. simons 8208d 16h /
528 Changed to support new simulator. simons 8209d 00h /
527 Programs compiled without use of l.jalr r9. simons 8209d 00h /
526 Simprint is now performed with l.nop 3. simons 8209d 00h /
525 EEAR shows virtual address on bus error exception. simons 8209d 00h /
524 Folder name changed. simons 8209d 15h /
523 l.jalr r9 removed. simons 8209d 15h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.