OpenCores
URL https://opencores.org/ocsvn/or1k_old/or1k_old/trunk

Subversion Repositories or1k_old

[/] - Rev 1172

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
1172 Added embedded memory QMEM. lampret 7698d 12h /
1171 Added embedded memory QMEM. lampret 7698d 12h /
1170 Added support for l.addc instruction. csanchez 7705d 08h /
1169 Added support for l.addc instruction. csanchez 7705d 08h /
1168 Added explicit alignment expressions. csanchez 7710d 18h /
1167 Corrected offset of TSS field within task_struct. csanchez 7710d 18h /
1166 Fixed problem with relocations of non-allocated sections. csanchez 7710d 18h /
1165 timeout bug fixed; contribution by Carlos markom 7727d 12h /
1164 This commit was manufactured by cvs2svn to create branch 'branch_speed_opt'. 7731d 01h /
1163 This commit was manufactured by cvs2svn to create branch 'branch_qmem'. 7731d 01h /
1162 This commit was manufactured by cvs2svn to create tag 'rel_9'. 7731d 01h /
1161 When OR1200_NO_IMMU and OR1200_NO_IC are not both defined or undefined at the same time, results in a IC bug. Fixed. lampret 7731d 01h /
1160 added missing .rodata.* section into rom linker script phoenix 7762d 01h /
1159 No functional changes. Added defines to disable implementation of multiplier/MAC lampret 7774d 04h /
1158 Added simple uart test case. lampret 7775d 05h /
1157 Added syscall test case. lampret 7775d 06h /
1156 Tick timer test case added. lampret 7776d 02h /
1155 No functional change. Only added customization for exception vectors. lampret 7777d 05h /
1154 When using tty channel, put the serial port into raw mode (no echo, no
CR/LF conversion, no other line discipline/buffering).
sfurman 7784d 21h /
1153 When multiple interrupts were pending, e.g. TX buffer empty and RX
available, reading the UART's IIR register could potentially clear a
TX interrupt before it had been sent to the processor, thus dropping
the interrupt permanently.

Fix tested w/ both eCos and uclinux.
sfurman 7785d 08h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.