OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] - Rev 50

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
50 Update test environment to the new supervisor ISA skordal 3297d 05h /
49 Correct spelling of "privileged" skordal 3307d 04h /
48 Create branch for upgrading to the new privileged ISA skordal 3307d 04h /
47 Tag version 0.1 of the Potato Processor skordal 3307d 12h /
46 Remove branch: cache-playground skordal 3310d 06h /
45 Merge branch cache-playground (r23-r30 and r34-r44) into trunk

This primarily adds the following features the the processor:
- A direct-mapped instruction cache with configurable cache line width and
number of cache lines.
- Various bug fixes for bugs that appeared when the processor could run
instructions at full speed but had to stall for data.
- A "Hello World" test application.
skordal 3310d 06h /
44 Add instruction cache and use the WB adapter as dmem interface skordal 3310d 06h /
43 Improve instruction fetch logic skordal 3310d 06h /
42 Move check for stall from irq_asserted to exception_taken in EX stage skordal 3310d 06h /
41 Make continouous status register reads asynchronous skordal 3310d 06h /
40 Reduce example design clock frequency to 50 MHz

- Also includes a minor change to make the address decoder/interconnect work
better with burst transfers.
skordal 3310d 06h /
39 Disable IRQs when handling exceptions skordal 3310d 06h /
38 Add "Hello World" test application skordal 3310d 07h /
37 Add macro to set the TOHOST register from C code skordal 3310d 07h /
36 Ensure correct read of CSR after stall skordal 3310d 08h /
35 Prevent jumping/branching when stalling skordal 3310d 08h /
34 Prevent flushing the pipeline if it is stalling skordal 3310d 08h /
33 Ensure correct read of CSR after stall skordal 3310d 08h /
32 Prevent jumping/branching when stalling skordal 3313d 05h /
31 Prevent flushing the pipeline if it is stalling skordal 3313d 06h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.